JP6092300B2 - 強化されたゲート制御qスキャン技術を用いた、集積回路のリーク電力の低減 - Google Patents

強化されたゲート制御qスキャン技術を用いた、集積回路のリーク電力の低減 Download PDF

Info

Publication number
JP6092300B2
JP6092300B2 JP2015106272A JP2015106272A JP6092300B2 JP 6092300 B2 JP6092300 B2 JP 6092300B2 JP 2015106272 A JP2015106272 A JP 2015106272A JP 2015106272 A JP2015106272 A JP 2015106272A JP 6092300 B2 JP6092300 B2 JP 6092300B2
Authority
JP
Japan
Prior art keywords
circuit
gate
logic
logic circuit
scan flip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2015106272A
Other languages
English (en)
Other versions
JP2015158511A (ja
Inventor
ラジャマニ・セスラム
カリム・アラビ
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2015158511A publication Critical patent/JP2015158511A/ja
Application granted granted Critical
Publication of JP6092300B2 publication Critical patent/JP6092300B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3004Current or voltage test
    • G01R31/3008Quiescent current [IDDQ] test or leakage current test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31701Arrangements for setting the Unit Under Test [UUT] in a test mode
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318575Power distribution; Power saving
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

本開示は、概して集積回路の設計に関する。より詳細には、本開示は、リーク電力が低減した集積回路の設計に関する。
集積回路(IC)は、一般に、ICのテストモード動作を容易にするためにICの設計にスキャンフリップフロップが含まれるスキャンベース設計などのテスト容易化設計技術を用いて設計される。スキャンフリップフロップは、標準的なフリップフロップに似ているが、スキャン入力、スキャン出力、およびイネーブル入力を含んでいる。このイネーブル入力は、スキャンフリップフロップを動作モードとテストモードとの間でトグルで切り替える。イネーブル入力がアサートされると、スキャンフリップフロップは、テスト入力とテスト出力がスキャン入力とスキャン出力を介して送信され得るスキャンモードで機能する。イネーブル入力がディアサートされると、スキャンフリップフロップは、データ入力部を介して入力が受信される標準的なフリップフロップとして動作する。
スキャンベース設計では、この設計におけるレジスタは、テストモード動作中にサイズの大きいシフトレジスタとして動作するよう、図1に示されているように互いに配置されたスキャンフリップフロップ102に変えられる。テストモード中には、テストベクトルがスキャンフリップフロップ102を介して回路の中にシフトされるシフト動作が最初に行なわれる。このテストベクトルは、本明細書では「ロジックのコーン(cone of logic)」104とも呼ばれる、ICの内部回路にある組合せロジックを介して伝わる。次いで、スキャンフリップフロップ102によってテスト応答がキャプチャーされるキャプチャー動作を行なうことができる。ロジックのコーンからの応答データがシフトアウトされ、この間に次のテストベクトルがシフトインされる。
各シフトの間は、テストベクトルの入力に応じて多数のスキャンフリップフロップが同時にトグルで切り替わる。これらのトグルは、ロジックのコーン全体を通じてさらなるトグル動作を引き起こす。シフト中のトグル動作は、回路における通常の動作モード中に生じるトグル動作をはるかに上まわる場合がある。トグル動作は、テスト中の回路の正常動作に悪影響を及ぼし得る膨大な量の電力を消費し、回路の信頼性を低下させる恐れがある。
シフト動作中の電力消費を低減するためのテスト容易化設計技術の1つは、「ゲート制御q」設計と呼ばれる。ゲート制御q設計によれば、各スキャンフリップフロップのq出力部とロジックのコーンとの間の回路設計にロジックゲートが追加される。シフト動作中には、ロジックゲートに対するシフトラインがアサートされる。シフトラインのアサートによって、シフト動作中に単一状態で保持される、ロジックゲートからロジックのコーンへの出力がもたらされる。このようにして、各スキャンフリップフロップのq出力は、シフトモード中に「ゲート制御される」ことになる。
図2には、ORゲート202がスキャンフリップフロップの出力をゲート制御するqゲート制御の例が示されている。ORゲート202は、たとえばシフト動作中にシフトライン206がアサートされると、ロジックのコーン204の各入力部においてロジック「1」をドライブする。ロジックのコーン204の入力がロジック「1」で保持されるので、ロジックのコーン204における組合せロジック回路がシフト動作中にトグルで切り替わらず、それによって動的電力消費が実質的に低減する。
図3には、NORゲート302がロジックのコーン304の入力を単一状態で保持するqゲート制御の別の例が示されている。NORゲート302は、シフトライン306のアサートに応じて、シフト動作中にロジックのコーン304の各入力部においてロジック「0」を保持する。対応するスキャンフリップフロップ308からのNORゲート302の各々に対する入力は反転され、それによって、NORゲート302は、シフトライン306がアサートされていない場合にはスキャンフリップフロップ308から受信された同じ値を送信する。ロジックのコーン304の入力を単一状態で保持することにより、ロジックのコーン全体にわたるトグル動作の伝播が防止され、それによって実質的な動的電力損失が防止される。
図2および図3を参照して説明されたqゲート制御の例は、たとえば動的電力損失である、組合せロジックの伝播トグル(propagated toggling)によって消費されることになる電力を実質的に低減するが、ICの設計は静的電力損失も受ける。現在のICの設計では、組合せロジックの電流リークに起因する静的電力損失は、動的電力損失よりもさらに大きい場合がある。「リーク電力」という用語は、回路のトランジスタがオフ状態にある場合にリーク電流に起因する回路設計によって消費される電力を指す。
ロジックゲートによって消費されるリーク電力は、そのロジックゲートに印加される入力パターンに依存する。たとえば、図4は、2入力のNANDゲート402と、その2入力のNANDゲート内におけるトランジスタの概略図404とを示す。「00」の入力が印加されると、他のすべての入力の組合せに比べて少ないリーク電力が消費される。これは、T1とT2の両方のトランジスタがオフになるためである。このことにより、少ないリーク電流と少ないリーク電力とをもたらす、高いドレインソース間抵抗が生じる。
回路設計における最少リーク状態は、最も少ないリーク電力を消費する設計の状態である。これは、できるだけ多くのロジックゲートまたは他の部品が最も少ないリーク状態におかれた場合に生じ、それによって回路のリーク電力全体が最少となる。所与の設計に対する最少リーク状態を特定することは、様々なソリューションまたはアプローチが要求されてきた複雑な問題である。しかしながら、そのようなソリューションは、ICの設計において、実用化には一般的に適していなかった。
本開示における実施形態は、回路設計における組合せロジックの最少リーク状態を決定するとともに、ある種の動作モード中における組合せロジックを最少リーク状態におくことによってリーク電力を低減する。
本開示における態様は、回路を最も少ないリーク状態におくためのハードウェア技術を含む。挿入されたハードウェアは、いくつかの回路動作モード中にリーク電力を低減する。本開示における別の態様は、スキャン経路が使われていない場合にそのスキャン経路におけるスキャンフリップフロップのチェーンによって消費されるリーク電力を低減するためのハードウェア技術を含む。
本開示における一態様は、ロジック回路と、ロジック回路に接続された複数のスキャンフリップフロップとを有する回路を含む。ロジック回路と、いくつかのスキャンフリップフロップとの間にはいくつかのゲートが接続されている。これらのゲートは、ロジック回路の最少リーク状態を保持するように選択されている。本開示における別の態様によれば、0ゲート制御のスキャンフリップフロップと、1ゲート制御のスキャンフリップフロップとが、ロジック回路の最少リーク状態を保持するために選択される。
本開示における態様は、ロジック回路を最少リーク状態で保持するためのqゲートロジックを含む回路を含む。このqゲートロジックに接続されたマルチプレクサ回路は、回路におけるいくつかの動作モードに対する最少リーク状態をアサートする。本開示における別の態様は、ロジック回路を最少リーク状態で保持するための手段と、回路におけるいくつかの動作モードに対する最少リーク状態をアサートするための手段とを有する回路を含む。
本開示における別の態様は、テスト中の回路に対してテストベクトルをシフトさせるために構成されたスキャンフリップフロップのチェーンを含むスキャン経路を有する回路を含む。スキャン経路の入力部には、マルチプレクサ回路が接続されている。マルチプレクサ回路は、イネーブル入力部を含み、イネーブル入力部のアサート時にスキャン経路の入力部にロジックの「0」を出力するように構成されている。
本開示における別の態様は、集積回路の設計において最少リーク状態を生み出す方法を含む。この方法は、自動テストパターンジェネレータのツールにおいて故障モデルを定義するステップであって、各故障が、集積回路の設計における標準セルの入力部における固有のブール組合せを表す、ステップを含む。ゲイン関数は、故障の各々に計算される。ゲイン関数は、対応する故障に対する平均的なリーク電力の低減を表す。故障は、故障に対して計算されたゲイン関数の順にソートされる。ベクトルセットは、ベクトルセットにおけるベクトルを用いてできるだけ多くの故障を検出するために自動テストパターン生成を行なうことによって生成される。ベクトルによって検出された故障に対応するゲインの合計が最大となる、ベクトルセットにおけるベクトルが選択される。
本開示における別の態様は、集積回路の設計において最少リーク状態を生み出すための装置を含む。この装置は、自動テストパターンジェネレータのツールにおいて故障モデルを定義するための手段であって、各故障が、集積回路の設計における標準セルの入力部における固有のブール組合せを表す、手段を含む。また、この装置は、故障の各々にゲイン関数を計算するための手段と、故障に対して計算されたゲイン関数の順に故障をソートするための手段とを含む。また、この装置は、ベクトルセットにおけるベクトルを用いてできるだけ多くの故障を検出するために自動テストパターン生成を行なうことによってベクトルセットを生成するための手段と、ベクトルセットにおけるベクトルを選択するための手段であって、ベクトルによって検出された故障に対応するゲインの合計が最大となる、手段とを含む。
本開示における別の態様は、プログラムコードが記録されたコンピュータ可読媒体を含むコンピュータプログラム製品を含む。プログラムコードは、自動テストパターンジェネレータのツールにおいて故障モデルを定義するためのプログラムコードであって、各故障が、集積回路の設計における標準セルの入力部における固有のブール組合せを表す、プログラムコードと、故障の各々にゲイン関数を計算するためのプログラムコードであって、ゲイン関数が、対応する故障に対する平均的なリーク電力の低減を表す、プログラムコードと、故障に対して計算されたゲイン関数の順に故障をソートするためのプログラムコードとを含む。また、このプログラムコードは、ベクトルセットにおけるベクトルを用いてできるだけ多くの故障を検出するために自動テストパターン生成を行なうことによってベクトルセットを生成するためのプログラムコードと、ベクトルセットにおけるベクトルを選択するためのプログラムコードであって、ベクトルによって検出された故障に対応するゲインの合計が最大となる、プログラムコードとを含む。
別の態様では、回路は、ロジック回路と、ロジック回路に接続されたスキャンフリップフロップとを含む。また、回路は、ロジック回路と複数のスキャンフリップフロップとの間に接続された、ロジック回路の最少リーク状態を保持するための手段を含む。
さらに別の態様では、回路は、ロジック回路を最少リーク状態で保持するための手段を有する。また、この回路は、回路における動作モードに対する最少リーク状態をアサートするための手段を有する。このアサート手段は、保持手段に接続されている。
さらなる態様では、回路は、テスト中の回路に対してテストベクトルをシフトするための手段を有する。また、回路は、シフト手段の入力部に接続されたマルチプレクサ回路を有する。このマルチプレクサ回路は、イネーブル入力部を含み、イネーブル入力部のアサート時にスキャン経路の入力部にロジックの「0」を出力するように構成されている。
本開示のさらなる特徴および利点は、以下で説明される。本開示と同じ目的を実施するために他の構造を変更または設計するための基礎として本開示が容易に利用され得ることが、当業者には理解されよう。また、そのような等価の構成は、添付の特許請求の範囲に明記されている本開示の教示から逸脱しないことが、当業者によって理解されるはずである。機構と動作の方法との両方に関する、本開示の特性であると考えられる新規の特徴は、添付の図面とともに考慮されることで、さらなる目的および利点とともに、以下の説明からより良く理解されよう。しかしながら、図面の各々は、例示および説明のためのみに提供されており、本開示における限定の定義として考えられていないことを明確に理解されたい。
本開示におけるより十分な理解のために、次に添付図面に関連して行なわれる以下の説明に言及する。
ロジック回路をテストするためのスキャンフリップフロップにおける従来の組を示すブロック図である。 ロジック回路をテストするための従来のゲート制御q設計を示す回路の概略図である。 ロジック回路をテストするための従来のゲート制御q設計を示す回路の概略図である。 NANDゲート用の標準記号の図と、NANDゲートを実現するための回路の概略図とである。 本開示における例示的実施形態に従ってロジックのコーンにおける最少リーク状態を実現するためのロジック回路の概略図である。 回路における複数の動作モードに対して回路の最少リーク状態を可能にするためのORゲートの記号表示である。 従来のスキャンフリップフロップの記号表示である。 0/1ゲート制御のスキャンフリップフロップの記号表示である。 本開示における例示的実施形態に従ってスキャン経路の最少リーク状態を実現するための回路の概略図である。 本開示における例示的実施形態に従って最少リーク状態を生み出す方法を示す、プロセスの流れ図である。 本開示における実施形態を有利に用いることができる例示的ワイヤレス通信システムを示すブロック図である。 本開示における実施形態による半導体コンポーネントの回路設計、レイアウト設計、および論理設計に用いられる設計用ワークステーションを示すブロック図である。
本開示における実施形態は、ロジックコーンにおける組合せロジックの減少リーク状態または最少リーク状態を決定し、ある種の動作モード中に組合せロジックをその減少リーク状態または最少リーク状態におくことによってリーク電力を低減する。スキャンフリップフロップのq出力をゲート制御し、ロジックコーンをそれらの最少リーク状態におくために、追加のハードウェアを含むqゲート制御のセルが挿入される。qゲート制御のセルは、機能上のスリープモード、シフトモード、キャプチャーモード、および、他のテストモード動作などのいくつかの回路モード中のリーク電力が低減されるように制御される。「最少」という用語が本願全体にわたって用いられるが、「減少」または「最少」が含まれるように考えられていることを理解されたい。
本開示の態様によれば、ハードウェアのオーバーヘッド(hardware overhead)は、ユーザが定めたハードウェアのオーバーヘッドの予算内に制限され得る。タイミングのクリティカルな経路(timing critical path)にはないフリップフロップの出力部のみにゲート制御のロジックを挿入することによって、回路のタイミングが狂うことはない。最少リーク状態(MLS)を決定するために、自動テストパターン生成(ATPG)のツールが用いられてもよい。
最少リーク状態が決定されると、ロジック回路を最少リーク状態におくことを容易にするために、回路に特定のハードウェアを加えることができる。図5を参照し、本開示の一態様によれば、設計を最少リーク状態におくために、スキャンフリップフロップ506とロジックのコーン508との間に、ORゲート502とNORゲート504の組合せを挿入することができる。たとえば、図5に示されている3つだけのフリップフロップを有する回路では、ロジックコーン508の最少リーク状態となる状態「001」が決定されている。状態「001」は、ロジックコーン508を最少リーク状態におくために、図示されているようにロジックコーン508の入力部に印加される。
本開示の態様によれば、ORゲート502は、状態「001」のうちのロジックの「1」ビットを生成するようにハードウェアに含まれており、2つのNORゲート504は、状態「001」のうちのロジックの「00」ビットを生成するようにハードウェアに含まれている。reduce_leakage 510と呼ばれる制御ラインは、ORゲート502およびNORゲート504に接続されている。この制御ライン510がアサートされると、すべてのロジックコーン508は、001状態、すなわち最少リーク状態におかれる。対応するスキャンフリップフロップ506からの、NORゲート504の各々に対する入力は反転され、それによって、NORゲート504は、制御ライン510がアサートされていない場合にはスキャンフリップフロップ506から受信された同じ値を送信する。
本開示の態様によれば、ロジックのコーンは、テストモード中に加え、回路の通常動作におけるある種のモード中に電力を節約するために最少リーク状態におかれてもよい。reduce_leakage信号は、最少リーク状態が要求される任意のモードにおいてアサートされ得る。
図6を参照すると、3入力のORゲート602が、3つの別の制御信号であるblock_slp 604と、shift 606と、core_testen_n 608とを多重化している。「reduce_leakage」信号は、回路を最も少ないリーク状態におくためにアサートされる必要のある信号である。このreduce_leakage 610信号は、block_slp 604がアサートされるか、またはshift 606がアサートされるか、またはcore_testen_n 608がアサートされない場合にアサートされることになる。block_slp 604信号は、MLS生成ハードウェアが接続されている、ロジックのコーンにおける回路のブロックが機能上のスリープモードにある場合にアサートされる。この信号「block_slp」は、そのブロックが機能上のスタンバイモードにある場合に、その機能上のモード中のリークを低減するためにアサートされる。shift 606信号は、ロジックのコーンがシフトモードにある場合にアサートされる。この信号「shift」は、動的なシフトの電力を低減するために、スキャンシフトモード中にアサートされている。core_testen_n 608信号は、テストモード中にコア回路がテストされていることを示す。この信号「core_testen_n」は、たとえばIddqテストモード(Iddq testmode)中にその特定のブロックがテストされていない場合にアサートされ、それによって、Iddqテスト(Iddq test)中にそのブロックによって流れ出るリーク電流は最少となる。3入力のORゲート602に対する入力として3つだけの制御信号が図示されているが、2つ以下もしくは4つ以上の信号がORされてもよく、または、論理的に組み合わせられてもよく、または、動作における他の動作モードもしくは他の動作モードの組合せの間のリークを低減するために多重化されてもよいことを理解されたい。
本開示における代替実施形態によれば、最少リーク状態を実現するためのゲート制御のロジックハードウェアは、通常のスキャンフリップフロップの内部に加えられてもよい。図7は、標準的なスキャンフリップフロップ700の例を示す。図8は、この例ではreduced_leakageと表示されているイネーブル信号がアサートされた場合に、ロジックの「1」または「0」を保持するための追加のハードウェアを内部的に含む変更後のスキャンフリップフロップ800の例を示す。図7に示されているフリップフロップ700の場合、「d」はデータピンであり、「sin」はスキャン入力ピンである。「scan_en」と表示されているピンは、スキャンイネーブルピンである。このフリップフロップ700は、「clk」ピンによってクロック供給される。「q」と表示されているピンは、フリップフロップの出力を表し、「sout」と表示されているピンは、スキャン出力ピンである。
イネーブル信号のアサート時にロジックの「1」を出力する変更後のスキャンフリップフロップは、1ゲート制御のスキャンフリップフロップと呼ばれており、たとえば、図5に示されているORゲートと、それに対応するスキャンフリップフロップを取り替えるために用いることができる。イネーブル信号のアサート時にロジックの「0」を出力する変更後のスキャンフリップフロップは、0ゲート制御のスキャンフリップフロップと呼ばれており、図5に示されているNORゲートと、それに対応するスキャンフリップフロップを取り替えるために用いることができる。1ゲート制御のスキャンフリップフロップ、および、0ゲート制御のスキャンフリップフロップの両方は、標準的なセルライブラリに含まれている。本開示における本態様によれば、通常のスキャンフリップフロップは、最少リーク状態を生み出すために、組み立て中または組み立て後0/1ゲート制御のスキャンフリップフロップと取り替えられてもよい。
本開示における別の態様では、スキャン経路におけるリークが低減される。スキャン経路に関連するリークがどのように低減するかを示すために、図9を参照してテスト用のコアベース設計(CBDFT)の例について説明する。CBDFT設計は、いくつかのコア902に分割されてもよい(そのうちの1つだけが図示されている)。コアテストモードでは、一度に1つのコア902に対してテストが行なわれてもよい。
個々のスキャンフリップフロップ904に対するリーク電力は、SIN=1の状態よりもSIN=0の状態においてはるかに低くなることが観測されている。「core_testen」と表示されているピンは、その特定のコア902が現在テスト中であるか否かを示す制御信号を表す。本開示における本態様によれば、コアテストモードにおけるCBDFTコアのスキャン経路906は、ロジックの「0」で多重化されており、それによって、特定のコア902がテストされていない場合には常にcore_testen信号がアサートされ、ロジックの0がスキャン経路の中にシフトされる。これにより、特定のコア902がテストされていない場合には常に、特定のコア902においてスキャン経路をロジックの「0」に初期化され、テストされていないコアは最少リーク状態におかれる。テストされていないコアに対するスキャン経路のリークを低減するよう上記の多重化を実現するために、わずかな量の追加のロジックハードウェアだけが加えられる。コアが復元回路(decompressor circuitry)を含み得る特定の実施形態では、追加のロジックハードウェアは復元回路の出力部に加えられる。
CBDFTの別の例では、スキャン経路は、「トップテスト」モード中に0に初期化されてもよい。トップテストモード中には、コアにおける内部のスキャンチェーンは、開始またはキャプチャーの動作を行なわないため、このテスト中に最少リーク状態におかれ得る。この技術に対するハードウェアのオーバーヘッドは無視できるものであり、たとえば、設計における各スタンプ(stump)に単一のANDゲートとして実装され得る1つのマルチプレクサを含む。
上記のコアテストモードの例、および、トップテストモードの例は、スキャンチェーンによって消費されるリーク電力が、CBDFTの方法を用いた設計に対して低減される本開示における特定の態様である。CBDFTの例においてスキャン経路のリークを低減する態様について説明されたが、これらの態様は、1つまたは複数のスキャン経路がテストモード中にアクティブとならない非CBDFTベースの設計にも適用可能であることを理解されたい。
また、本開示における態様は、既存ツールを用いてIC設計の最少リーク状態を決定する方法を含む。例示的実施形態によれば、IC設計における組合せロジックの最少リーク状態を生み出す問題は、最少リーク状態を生み出すために既存の自動テストパターンジェネレータ(ATPG)のツールが用いられ得るように、ATPGの問題としてモデル化される。本開示の例示的実施形態による最少リーク状態の生成に用いられてもよい一般的なATPGツールの例は、California、San JoseのCadence Design Systemsによる「Encounter Test」である。
図10を参照して、本開示における態様による、ATPGツールを用いて最少リーク状態を決定するための方法について説明する。ブロック1002では、ATPGツール用の新たな故障モデル(fault model)が定義される。この新たな故障モデルは、設計における標準セルの入力部における固有のブール組合せを表す。この故障モデルでは、内部のノードは見られない。たとえば、2入力のANDゲートの場合、4つの故障F1、F2、F3、およびF4が作り出される。故障F1では検出のために「00」入力が必要となり、故障F2では検出のために「01」入力が必要となり、故障F3では検出のために「10」入力が必要となり、また故障F4では検出のために「11」入力が必要となる。この故障モデルは、MLS生成の問題をATPGの問題に変えるためのしくみとして用いられる。この故障モデルは、いかなる物理的欠陥とも相関関係にない。
ブロック1004では、各故障にゲイン値が計算される。入力部に印加される特定のブールパターン「P」に対応する各故障「f」に、ゲイン関数G(f)が計算される。このゲイン関数G(f)は、特定ゲートの入力部に「P」を印加することによって、平均的なリーク電力の低減を表す。これは、たとえば標準的なATPGツールの「.lib」ファイルを用いて行なわれてもよい。
ブロック1006では、ゲインG(f)によって故障が降順にソートされる。次にブロック1008では、ベクトルVjに関係するできるだけ多くの故障fi(i=1〜k)を検出するために、極めて高い密度レベルの故障に対して自動テストパターン生成(ATPG)のプロセスが実行される。
ブロック1010では、ゲインG(fi)[i=1〜k]の合計が最大となるようにATPGのベクトルセット(vector set)からベクトルVjが選択される。ここで、fiは、ブロック1008においてVjによって検出された故障の組である。
ブロック1012では、最少リーク状態のロジックがIC回路の設計に挿入される。スキャンセル「k」の各ケアビットSkに、Sk=「1」の場合にはスキャンセルkの出力部における回路設計にORゲートが挿入され、Sk=「0」の場合にはスキャンセルkの出力部における回路設計にNORゲートが挿入される。これにより、回路設計においてベクトル「Vj」が効果的にハードコード化される。
本開示における態様によれば、タイミングのクリティカルな経路のうちのどの変更を避けるためにATPGツールが用いられてもよい。タイミングがクリティカルなすべてのフリップフロップは、ATPGプロセス中にXジェネレータ(X generator)としてモデル化されてもよい。これにより、タイミングがクリティカルなフリップフロップにATPGツールがケアビットをつけることが防がれ、タイミングのクリティカルな経路に対する変更が防止される。
本開示における態様は、ユーザが定めたゲートの予算に合わせ、予算化されたゲート数に対するIC設計においてリークの低減を最適化することができる。ベクトル「Vj」における各ケアビットは、ロジックゲートを設計に加えることによってハードウェアのオーバーヘッドを増すので、所定のハードウェア予算によって許された複数の追加のロジックゲートを上まわらないケアビットを有するベクトルが選択されてもよい。予算を超えるいくつかのゲートは、設計から落とされてもよい。本開示によれば、落とされることになるゲートは、最も小さいゲイン値を有する故障の検出を容易にする、対応するケアビットを特定することによって選択されてもよい。
図11は、本開示における実施形態を有利に用いることができる例示的ワイヤレス通信システム1100を示すブロック図である。説明のために、図11は、3つの遠隔ユニット1120、1130、および1150、ならびに、2つの基地局1140を示す。ワイヤレス通信システムは、より多くの遠隔ユニットおよび基地局を有してもよいことが認識されよう。遠隔ユニット1120、1130、および1150は、ICデバイス1125A、1125C、および1125Bを含み、これらは開示された回路を含んでいる。また、基地局、スイッチングデバイスおよびネットワーク機器を含み、ICを内蔵する任意のデバイスは、本明細書で開示された回路を含み得ることが認識されよう。図11は、基地局1140から遠隔ユニット1120、1130、および1150への順方向リンク信号1180、ならびに、遠隔ユニット1120、1130、および1150から基地局1140への逆方向リンク信号1190を示す。
図11では、遠隔ユニット1120は移動電話として示され、遠隔ユニット1130はポータブルコンピュータとして示され、遠隔ユニット1150は、ワイヤレスローカルループシステムにおける固定位置遠隔ユニットとして示されている。たとえば、遠隔ユニットは、携帯電話、手持ち式パーソナルコミュニケーションシステム(PCS)ユニット、個人情報端末などの携帯用データユニット、GPS対応デバイス、ナビゲーションデバイス、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、メータ読取り機器などの固定ロケーションデータユニット、またはデータもしくはコンピュータ命令の記憶もしくは取り出しを行なう任意の他のデバイス、またはそれらの任意の組合せであってもよい。図11は、本開示における教示による遠隔ユニットを示しているが、本開示は、これらの例示的に示されたユニットに限定されない。本開示における実施形態は、集積回路(IC)を含む任意のデバイスに好適に用いることができる。
図12は、上記で開示されたMLS生成回路などの、半導体コンポーネントの回路設計、レイアウト設計、および論理設計に用いられる設計用ワークステーションを示すブロック図である。設計用ワークステーション1200は、オペレーティングシステムソフトウェア、支援ファイル、および、CadenceまたはOrCADなどの設計用ソフトウェアを含むハードディスク1201を含む。また、設計用ワークステーション1200は、MLS生成回路を有するパッケージングされた集積回路などの回路1210または半導体コンポーネント1212の設計を容易にするためにディスプレイを含む。記憶媒体1204は、回路設計1210または半導体コンポーネント1212を有形に記憶するために提供されている。回路設計1210または半導体コンポーネント1212は、GDSIIまたはGERBERなどのファイルフォーマットで記憶媒体1204に記憶されてもよい。記憶媒体1204は、CD-ROM、DVD、ハードディスク、フラッシュメモリ、または他の適切なデバイスでもよい。さらに、設計用ワークステーション1200は、記憶媒体1204からの入力を受けるか、または記憶媒体1204に出力を書き込むためのドライブ装置1203を含む。
記憶媒体1204に記録されるデータは、論理回路構成、フォトリソグラフィのマスクのためのパターンデータ、または、電子ビームリソグラフィなどの連続書込ツールのためのマスクパターンデータを指定することができる。データはさらに、論理シミュレーションに関連するタイミングダイヤグラムまたはネット回路などの論理検証データを含み得る。記憶媒体1204にデータを提供することによって、半導体ウェハを設計するためのプロセスの数が減少することによって、回路設計1210または半導体コンポーネント1212の設計が容易になる。
ファームウェアおよび/またはソフトウェアの実装形態の場合、これらの方法は、本明細書で説明する機能を実行するモジュール(たとえば、プロシージャ、関数など)によって実施されてもよい。本明細書で説明する方法を実施する際に、命令を有形に具現化する任意の機械可読媒体を使用してもよい。たとえば、ソフトウェアコードがメモリに記憶され、プロセッサユニットにより実行されてもよい。メモリは、プロセッサユニット内に実装されてもよく、またはプロセッサユニットの外部に実装されてもよい。本明細書で用いられる場合、「メモリ」という用語は、長期メモリ、短期メモリ、揮発性メモリ、不揮発性メモリ、または他のメモリのいずれかの種類を指し、特定の種類のメモリまたは特定の数のメモリ、またはメモリが記憶される媒体の特定の種類に何ら限定されない。
ファームウェアおよび/またはソフトウェアで実施される場合、機能は、コンピュータ可読媒体上に1つもしくは複数の命令またはコードとして記憶されてもよい。この例には、データ構造によって符号化されたコンピュータ可読媒体、および、コンピュータプログラムによって符号化されたコンピュータ可読媒体が含まれる。コンピュータ可読媒体は、物理的なコンピュータ記憶媒体を含む。記憶媒体は、コンピュータによってアクセスされ得る任意の使用可能な媒体でもよい。限定ではなく、一例として、そのようなコンピュータ可読媒体は、RAM、ROM、EEPROM、CD-ROM、または他の光ディスクストレージ、磁気ディスクストレージまたは他の磁気記憶デバイス、あるいは所望のプログラムコードを命令またはデータ構造の形で記憶するのに使用することができ、またコンピュータによってアクセスすることのできる任意の他の媒体を備えてよく、本明細書で使用するディスク(diskおよびdisc)には、コンパクトディスク(CD)、レーザディスク(登録商標)、光ディスク、デジタル多用途ディスク(DVD)、フレキシブルディスク、およびブルーレイディスクが含まれ、ディスク(disk)は通常、データを磁気的に再生し、ディスク(disc)はデータをレーザによって光学的に再生する。上記の組合せも、コンピュータ可読媒体の範囲内に含まれるべきである。
命令および/またはデータは、コンピュータ可読媒体上に記憶されるだけでなく、通信装置に含まれる伝送媒体上の信号として提供されてもよい。たとえば、通信装置は、命令およびデータを示す信号を有する送受信機を含み得る。命令およびデータは、1つまたは複数のプロセッサに特許請求の範囲において概説する機能を実施させるように構成されている。
特定の回路について説明したが、当業者には、本開示を実施するために、開示された回路のすべてが必要とされるわけではないことが理解されよう。さらに、本開示における焦点を保つために特定の公知の回路については説明していない。
本開示およびその利点について詳しく説明したが、添付の特許請求の範囲によって定義される本開示の技術から逸脱することなく、本明細書において様々な変更、代用および改変を施せることを理解されたい。さらに、本願の範囲は、本明細書において説明したプロセス、機械、製造、物質組成、手段、方法、およびステップの特定の実施形態に限定されるものではない。当業者には本開示から容易に理解されるように、本明細書で説明した対応する実施形態と実質的に同じ機能を実行する、または実質的に同じ結果を実現する、既存の、または、今後開発されるプロセス、機械、製造、物質組成、手段、方法、またはステップを、本開示に従って利用してもよい。従って、添付の特許請求の範囲は、そのようなプロセス、機械、製造、物質組成、手段、方法、またはステップを範囲内に含むものである。
502 ORゲート
504 NORゲート
506 スキャンフリップフロップ
508 ロジックのコーン
510 制御ライン
602 3入力のORゲート
604 block_slp信号
606 shift信号
608 core_testen_n信号
610 reduce_leakage信号
700 標準的なスキャンフリップフロップ
800 変更後のスキャンフリップフロップ
902 コア
904 スキャンフリップフロップ
906 スキャン経路
d データピン
sin スキャン入力ピン
scan_en スキャンイネーブルピン
clk クロックピン
q フリップフロップ出力
sout スキャン出力ピン

Claims (11)

  1. ロジック回路と、
    前記ロジック回路に接続された複数のスキャンフリップフロップと、
    前記ロジック回路の最少リーク状態を保持するようにORゲートおよびNORゲートから選択され、前記ロジック回路と前記複数のスキャンフリップフロップとの間に接続された複数のゲートと
    を備え
    前記ORゲートは前記最少リーク状態がロジック回路に対するロジック「1」の入力を必要とする場合に選択され、
    前記NORゲートは前記最少リーク状態が前記ロジック回路に対するロジック「0」の入力を必要とする場合に選択され、
    前記ORゲートおよび前記NORゲートは、自動テストパターン生成によって生成されるテストパターンに基づいて選択され、前記テストパターンに含まれるテストベクトルは、前記テストベクトルを印加したときのリーク電力の昇順でソートされている回路。
  2. 前記ロジック回路の動作モードに基づいて前記最少リーク状態を選択するために前記複数のゲートに接続された制御回路をさらに備える、請求項1に記載の回路。
  3. 前記複数のゲートの各々にイネーブル信号を提供するために前記複数のゲートの各々の入力部に接続された出力部を有するとともに、前記最少リーク状態が実現される動作モードに対応する複数の入力部を有する、制御回路のORゲートを前記制御回路が備える、請求項1に記載の回路。
  4. 前記動作モードが、前記回路内のブロックが機能上のスタンバイモードにあることを示すブロックスリープモード、テスト中の前記回路に対してテストベクトルをシフトするためのシフトモード、および前記回路内のコアがテスト中ではないことを示す非コアテストモードを含む複数の動作モードから選択可能である、請求項2に記載の回路。
  5. 携帯電話、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、コンピュータ、手持ち式パーソナルコミュニケーションシステム(PCS)ユニット、携帯用データユニット、および固定ロケーションデータユニットの少なくとも1つに組み込まれている、請求項1に記載の回路。
  6. ロジック回路と、
    前記ロジック回路に接続された複数のスキャンフリップフロップであって、前記複数のスキャンフリップフロップは、前記ロジック回路の最少リーク状態を保持するために0ゲート制御のフリップフロップ、および、1ゲート制御のフリップフロップから選択される、複数のスキャンフリップフロップと
    を備え
    前記0ゲート制御のフリップフロップおよび前記1ゲート制御のフリップフロップは、自動テストパターン生成によって生成されるテストパターンに基づいて選択され、前記テストパターンに含まれるテストベクトルは、前記テストベクトルを印加したときのリーク電力の昇順でソートされている回路。
  7. 前記ロジック回路の動作モードに基づいて前記最少リーク状態を選択するために前記スキャンフリップフロップに接続された制御回路をさらに備える、請求項6に記載の回路。
  8. 前記複数のスキャンフリップフロップが、
    前記最少リーク状態がロジック回路に対するロジックの「1」の入力を必要とする1ゲート制御のスキャンフリップフロップと、
    前記最少リーク状態が前記ロジック回路に対するロジックの「0」の入力を必要とする0ゲート制御のスキャンフリップフロップと
    を備える、請求項7に記載の回路。
  9. 携帯電話、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、コンピュータ、手持ち式パーソナルコミュニケーションシステム(PCS)ユニット、携帯用データユニット、および固定ロケーションデータユニットの少なくとも1つに組み込まれている、請求項6に記載の回路。
  10. ロジック回路と、
    前記ロジック回路に接続された複数のスキャンフリップフロップと、
    前記ロジック回路と前記複数のスキャンフリップフロップとの間に接続された、前記ロジック回路の最少リーク状態を保持するための手段であって、前記最少リーク状態がロジック回路に対するロジック「1」の入力を必要とする前記スキャンフリップフロップの各々に対するORゲートと、前記最少リーク状態が前記ロジック回路に対するロジック「0」の入力を必要とする前記スキャンフリップフロップの各々に対するNORゲートとを備える、保持するための手段
    を備え
    前記ORゲートおよび前記NORゲートは、自動テストパターン生成によって生成されるテストパターンに基づいて選択され、前記テストパターンに含まれるテストベクトルは、前記テストベクトルを印加したときのリーク電力の昇順でソートされている、回路。
  11. 携帯電話、セットトップボックス、音楽プレーヤ、ビデオプレーヤ、娯楽ユニット、ナビゲーションデバイス、コンピュータ、手持ち式パーソナルコミュニケーションシステム(PCS)ユニット、携帯用データユニット、および固定ロケーションデータユニットの少なくとも1つに組み込まれている、請求項10に記載の回路。
JP2015106272A 2010-09-17 2015-05-26 強化されたゲート制御qスキャン技術を用いた、集積回路のリーク電力の低減 Expired - Fee Related JP6092300B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US12/884,482 US8456193B2 (en) 2010-09-17 2010-09-17 Integrated circuit leakage power reduction using enhanced gated-Q scan techniques
US12/884,482 2010-09-17

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2013529320A Division JP6076256B2 (ja) 2010-09-17 2011-09-15 強化されたゲート制御qスキャン技術を用いた、集積回路のリーク電力の低減

Publications (2)

Publication Number Publication Date
JP2015158511A JP2015158511A (ja) 2015-09-03
JP6092300B2 true JP6092300B2 (ja) 2017-03-08

Family

ID=44720146

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2013529320A Expired - Fee Related JP6076256B2 (ja) 2010-09-17 2011-09-15 強化されたゲート制御qスキャン技術を用いた、集積回路のリーク電力の低減
JP2015106272A Expired - Fee Related JP6092300B2 (ja) 2010-09-17 2015-05-26 強化されたゲート制御qスキャン技術を用いた、集積回路のリーク電力の低減

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2013529320A Expired - Fee Related JP6076256B2 (ja) 2010-09-17 2011-09-15 強化されたゲート制御qスキャン技術を用いた、集積回路のリーク電力の低減

Country Status (6)

Country Link
US (2) US8456193B2 (ja)
EP (1) EP2616828B1 (ja)
JP (2) JP6076256B2 (ja)
KR (2) KR101613445B1 (ja)
CN (2) CN105738799B (ja)
WO (1) WO2012037338A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8456193B2 (en) 2010-09-17 2013-06-04 Qualcomm Incorporated Integrated circuit leakage power reduction using enhanced gated-Q scan techniques
US8898604B1 (en) 2013-07-16 2014-11-25 International Business Machines Corporation Algorithm to identify best Q-gating candidates and a Q-gating cell architecture to satiate the launch-off-shift (LOS) testing
US9086458B2 (en) * 2013-08-28 2015-07-21 International Business Machines Corporation Q-gating cell architecture to satiate the launch-off-shift (LOS) testing and an algorithm to identify best Q-gating candidates
US9100002B2 (en) * 2013-09-12 2015-08-04 Micron Technology, Inc. Apparatus and methods for leakage current reduction in integrated circuits
US9496851B2 (en) * 2014-09-10 2016-11-15 Qualcomm Incorporated Systems and methods for setting logic to a desired leakage state
CN105631077B (zh) * 2014-11-07 2020-05-15 恩智浦美国有限公司 具有增大的故障覆盖率的集成电路
KR20160072714A (ko) 2014-12-15 2016-06-23 한국전자통신연구원 연동 콘텐츠 제공 장치 및 제공 방법
TWI611310B (zh) 2016-12-01 2018-01-11 財團法人工業技術研究院 電源容錯分析方法及系統
US11231462B1 (en) * 2019-06-28 2022-01-25 Synopsys, Inc. Augmenting an integrated circuit (IC) design simulation model to improve performance during verification
KR102613884B1 (ko) 2023-10-13 2023-12-14 위더맥스(주) Dvd 개선을 위한 q-게이팅 적용 장치 및 방법

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4425666A (en) 1982-01-29 1984-01-10 Motorola Inc. Data encoding and decoding communication system for three frequency FSK modulation and method therefor
US5059819A (en) * 1986-12-26 1991-10-22 Hitachi, Ltd. Integrated logic circuit
US5254888A (en) 1992-03-27 1993-10-19 Picopower Technology Inc. Switchable clock circuit for microprocessors to thereby save power
JP3247190B2 (ja) * 1993-04-13 2002-01-15 三菱電機株式会社 位相同期回路および集積回路装置
KR960009973B1 (ko) * 1994-03-31 1996-07-25 금성일렉트론 주식회사 피엘엘(pll) 회로
JP3595713B2 (ja) * 1999-02-04 2004-12-02 株式会社リコー テスト容易化設計支援システム
JP3420142B2 (ja) * 1999-11-11 2003-06-23 Necエレクトロニクス株式会社 スキャンパステスト用のフリップフロップ回路
JP2002185309A (ja) * 2000-12-18 2002-06-28 Hitachi Ltd データ保持回路および半導体装置並びに半導体装置の設計方法
JP2002299454A (ja) * 2001-04-02 2002-10-11 Toshiba Corp 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法
US6473485B1 (en) * 2001-09-10 2002-10-29 Micrel, Incorporated Charge pump leakage current compensation systems and methods
US6677783B2 (en) * 2001-12-31 2004-01-13 Intel Corporation High-speed, state-preserving, race-reducing, wide-pulsed-clock domino design style
CN100344061C (zh) 2002-02-06 2007-10-17 Nxp股份有限公司 低功耗的数字电子电路
JP2003255024A (ja) 2002-03-01 2003-09-10 Toshiba Corp 半導体装置
US20030188241A1 (en) 2002-03-29 2003-10-02 International Business Machines Corporation CMOS low leakage power-down data retention mechanism
US7442997B2 (en) * 2002-08-28 2008-10-28 Guobiao Zhang Three-dimensional memory cells
KR100505662B1 (ko) * 2002-12-30 2005-08-03 삼성전자주식회사 칩 사이즈를 감소시키는 스캔 테스트 회로를 구비한반도체 장치, 및 그 테스트 방법
US7002374B2 (en) * 2003-02-12 2006-02-21 Stmicroelectronics, Inc. Domino logic compatible scannable flip-flop
JP4416469B2 (ja) 2003-10-07 2010-02-17 パナソニック株式会社 半導体集積回路およびその設計方法
JP2005148837A (ja) 2003-11-11 2005-06-09 Kawasaki Microelectronics Kk スキャンテスト回路
JP2006020433A (ja) 2004-07-02 2006-01-19 Mitsubishi Electric Corp Dcブラシレスモータ及び誘導電動機並びに送風装置
US7620133B2 (en) * 2004-11-08 2009-11-17 Motorola, Inc. Method and apparatus for a digital-to-phase converter
US7203876B2 (en) 2004-11-30 2007-04-10 International Business Machines Corporation Method and apparatus for controlling AC power during scan operations in scannable latches
JP2006220433A (ja) * 2005-02-08 2006-08-24 Kawasaki Microelectronics Kk 半導体装置およびリーク電流低減化方法
US7180348B2 (en) * 2005-03-24 2007-02-20 Arm Limited Circuit and method for storing data in operational and sleep modes
US20070085585A1 (en) * 2005-10-13 2007-04-19 Arm Limited Data retention in operational and sleep modes
JP4986863B2 (ja) * 2005-12-14 2012-07-25 シャープ株式会社 放電灯点灯装置
US7355440B1 (en) * 2005-12-23 2008-04-08 Altera Corporation Method of reducing leakage current using sleep transistors in programmable logic device
US7992062B2 (en) 2006-06-22 2011-08-02 Qualcomm Incorporated Logic device and method supporting scan test
US7757137B2 (en) * 2007-03-27 2010-07-13 International Business Machines Corporation Method and apparatus for on-the-fly minimum power state transition
US7949971B2 (en) * 2007-03-27 2011-05-24 International Business Machines Corporation Method and apparatus for on-the-fly minimum power state transition
GB2447944B (en) * 2007-03-28 2011-06-29 Advanced Risc Mach Ltd Reducing leakage power in low power mode
US7622975B2 (en) * 2007-07-10 2009-11-24 Qualcomm Incorporated Circuit having a local power block for leakage reduction
US7966538B2 (en) * 2007-10-18 2011-06-21 The Regents Of The University Of Michigan Microprocessor and method for detecting faults therein
CN101216532A (zh) * 2008-01-16 2008-07-09 闫永志 一种时序电路中降低扫描功耗的方法
US7996695B2 (en) 2008-02-15 2011-08-09 Qualcomm Incorporated Circuits and methods for sleep state leakage current reduction
JP2009205414A (ja) 2008-02-27 2009-09-10 Nec Electronics Corp 半導体集積回路及びその設計方法並びに半導体集積回路設計装置
US7877715B1 (en) * 2008-03-28 2011-01-25 Cadence Design Systems, Inc. Method and apparatus to use physical design information to detect IR drop prone test patterns
JP2009175154A (ja) 2009-04-27 2009-08-06 Panasonic Corp 半導体集積回路およびその設計方法
US8456193B2 (en) * 2010-09-17 2013-06-04 Qualcomm Incorporated Integrated circuit leakage power reduction using enhanced gated-Q scan techniques

Also Published As

Publication number Publication date
KR20130089256A (ko) 2013-08-09
US20120068734A1 (en) 2012-03-22
KR20160047586A (ko) 2016-05-02
JP6076256B2 (ja) 2017-02-08
WO2012037338A1 (en) 2012-03-22
CN103154754A (zh) 2013-06-12
US9584120B2 (en) 2017-02-28
KR101871078B1 (ko) 2018-06-25
US20130241593A1 (en) 2013-09-19
US8456193B2 (en) 2013-06-04
CN105738799A (zh) 2016-07-06
JP2015158511A (ja) 2015-09-03
KR101613445B1 (ko) 2016-04-19
EP2616828A1 (en) 2013-07-24
JP2013543580A (ja) 2013-12-05
EP2616828B1 (en) 2018-08-29
CN103154754B (zh) 2016-03-16
CN105738799B (zh) 2019-06-14

Similar Documents

Publication Publication Date Title
JP6092300B2 (ja) 強化されたゲート制御qスキャン技術を用いた、集積回路のリーク電力の低減
US8788896B2 (en) Scan chain lockup latch with data input control responsive to scan enable signal
US7693676B1 (en) Low power scan test for integrated circuits
US8726108B2 (en) Scan test circuitry configured for bypassing selected segments of a multi-segment scan chain
US9098662B1 (en) Configuring a device to debug systems in real-time
US8806416B1 (en) Method and circuit to implement a static low power retention state
JP2009205414A (ja) 半導体集積回路及びその設計方法並びに半導体集積回路設計装置
Tseng Scan chain ordering technique for switching activity reduction during scan test
Priya Defect-aware methodology for low-power scan-based VLSI testing
Bhunia et al. Power reduction in test-per-scan BIST with supply gating and efficient scan partitioning
US20100235692A1 (en) Memory test circuit and processor
KR101808480B1 (ko) 반도체 테스트를 지원하는 보스트 모듈 장치 및 그 동작 방법
Bhunia et al. A novel low-power scan design technique using supply gating
Kim et al. A New Scan Partition Scheme for Low‐Power Embedded Systems
WO2013138467A1 (en) Power gating for high speed xbar architecture
Banupriya Implementation of energy efficient BIST architecture by using verilog
Mu et al. Testing methods for detecting stuck-open power switches in coarse-grain mtcmos designs
JP2024010862A (ja) テストパターン生成プログラム、テストパターン生成装置及びテストパターン生成方法
Bhattacharya et al. Test strategy for storage SOCs
Hsu et al. Low-Power built-in logic block observer realization for BIST applications
Wen et al. Low-Power Test Pattern Generation
Li A design for testability technique for low power delay fault testing
JP5516053B2 (ja) 半導体集積回路
Thushar et al. LOW POWER AND AREA EFFICIENT TEST PATTERN GENERATION FOR BIST USING RING COUNTER
JP2013003035A (ja) 半導体集積回路および半導体集積回路の設計方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150622

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20160426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160509

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160808

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170110

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170208

R150 Certificate of patent or registration of utility model

Ref document number: 6092300

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees