CN103154754B - 扫描电路 - Google Patents

扫描电路 Download PDF

Info

Publication number
CN103154754B
CN103154754B CN201180049155.9A CN201180049155A CN103154754B CN 103154754 B CN103154754 B CN 103154754B CN 201180049155 A CN201180049155 A CN 201180049155A CN 103154754 B CN103154754 B CN 103154754B
Authority
CN
China
Prior art keywords
circuit
logical circuit
door
leakage state
signal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201180049155.9A
Other languages
English (en)
Other versions
CN103154754A (zh
Inventor
拉贾马尼·塞瑟拉姆
卡里姆·阿拉比
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Priority to CN201610085456.1A priority Critical patent/CN105738799B/zh
Publication of CN103154754A publication Critical patent/CN103154754A/zh
Application granted granted Critical
Publication of CN103154754B publication Critical patent/CN103154754B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318583Design for test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/30Marginal testing, e.g. by varying supply voltage
    • G01R31/3004Current or voltage test
    • G01R31/3008Quiescent current [IDDQ] test or leakage current test
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31701Arrangements for setting the Unit Under Test [UUT] in a test mode
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318575Power distribution; Power saving
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/0008Arrangements for reducing power consumption
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/02Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components
    • H03K19/173Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits using specified components using elementary logic circuits as components
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Computing Systems (AREA)
  • Mathematical Physics (AREA)
  • Power Engineering (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Architecture (AREA)
  • Software Systems (AREA)
  • Testing Of Individual Semiconductor Devices (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

通过确定电路设计的最小泄漏状态且接着选择使所述电路设计保持在其最低泄漏状态的逻辑门来选择用于q门控的特定逻辑门。取决于实施所述最小泄漏状态所需的输入,可将所述门选择为NOR或OR门。用经选择以实施所述最小泄漏状态的门实施的q门控可在选定操作模式期间启用。可用自动测试模式产生ATPG工具来确定电路的所述最小泄漏状态。

Description

扫描电路
技术领域
本发明大体上涉及集成电路设计。更具体地说,本发明涉及设计具有减少的泄漏电力的集成电路。
背景技术
通常使用例如基于扫描的设计等测试性设计技术来设计集成电路(IC),在基于扫描的设计中,在IC设计中包含扫描触发器以促进IC的测试模式操作。扫描触发器类似于标准触发器,但包含扫描输入、扫描输出和启用输入。所述启用输入使扫描触发器在操作模式与测试模式之间来回切换。当启用输入被断言时,扫描触发器在扫描模式下起作用,其中可经由扫描输入和扫描输出发射测试输入和测试输出。当启用输入被解除断言时,扫描触发器作为标准触发器而操作,其中经由数据输入来接收输入。
在基于扫描的设计中,将设计中的寄存器转换为扫描触发器102,其如图1中所示缝合在一起,以在测试操作模式期间作为过大移位寄存器而操作。在测试模式期间,首先执行移位操作,其中经由扫描触发器102使测试向量移位到电路中。所述测试向量传播经过IC内部电路的组合逻辑,其在本文中也称为“逻辑锥(coneoflogic)”104。接着可执行捕获操作,其中测试响应由扫描触发器102捕获。当移入下一测试向量时,来自逻辑锥的响应数据被移除。
在每次移位期间,较大数目的扫描触发器响应于测试向量输入而同时来回切换。这些来回切换导致贯穿整个逻辑锥的额外来回切换活动。移位期间的来回切换活动可能大大超过在电路的正常操作模式期间发生的来回切换活动。来回切换活动消耗大量的电力,这可不利地影响电路在测试期间的正确操作,且可降低电路的可靠性。
一种用于降低移位操作期间的电力消耗的测试性设计技术称为“门控q(gated-q)”设计。根据门控q设计,将逻辑门添加到电路设计,在每一扫描触发器的q输出与逻辑锥之间。在移位操作期间断言到逻辑门的移位线。移位线的断言导致从逻辑门到逻辑锥的输出在移位操作期间保持在单一状态。以此方式,每一扫描触发器的q输出将在移位模式期间被“门控”。
图2中展示q门控的实例,其中OR(“或”)门202门控扫描触发器输出。当例如在移位操作期间断言移位线206时,OR门202将每一输入处的逻辑“1”驱动到逻辑锥204。因为到逻辑锥204的输入保持在逻辑“1”,所以逻辑锥204中的组合逻辑电路在移位操作期间并不来回切换,因此大幅降低动态电力消耗。
图3中展示q门控的另一实例,其中NOR(“或非”)门302使到逻辑锥304的输入保持在单一状态。NOR门302响应于移位线306的断言而在移位操作期间保持到逻辑锥304的每一输入处的逻辑“0”。使从对应扫描触发器308到NOR门302中的每一者的输入反相,使得当移位线306未经断言时,NOR门302发射从扫描触发器308接收的相同值。使到逻辑锥304的输入保持在单一状态防止了贯穿逻辑锥的来回切换活动的传播,且从而防止实质的动态电力损耗。
虽然参看图2和图3所述的q门控实例大幅降低了因组合逻辑的所传播来回切换而原本将消耗的电力,例如动态电力损耗,但IC设计还遭受静态电力损耗。因组合逻辑中的电流泄漏而导致的静态电力损耗可能甚至比当前IC设计中的动态电力更大。术语“泄漏电力”是指当电路的晶体管处于其断开状态时,电路设计因泄漏电流而消耗的电力。
逻辑门所消耗的泄漏电力取决于施加于其的输入模式。举例来说,图4展示2输入NAND(“与非”)门402以及2输入NAND门内的晶体管的示意图404。当施加“00”输入时,与所有其它输入组合相比,消耗较少泄漏电力。这是因为两个晶体管T1和T2均为断开。这产生较高的漏极到源极电阻,其导致较少的泄漏电流和较少的泄漏电力。
电路设计的最小泄漏状态为消耗最少泄漏电力的设计的状态。这在尽可能多的逻辑门或其它组件停留在其最少泄漏状态时发生,使得电路的总泄漏电力为最小。识别给定设计的最小泄漏状态的问题是已假定各种解决方案或近似情况的复杂问题。然而,此些解决方案通常已不适合在设计IC时的实际应用。
发明内容
本发明的实施例通过确定电路设计中的组合逻辑的最小泄漏状态并使所述组合逻辑在某些操作模式期间停留在其最小泄漏状态来减少泄漏电力。
本发明的方面包含使电路停留在其最少泄漏状态的硬件技术。所插入的硬件减少若干电路操作模式期间的泄漏电力。本发明的另一方面包含在扫描路径不使用时减少扫描路径中的扫描触发器链所消耗的泄漏电力的硬件技术。
本发明的一个方面包含一种电路,其具有:逻辑电路;以及若干个扫描触发器,其耦合到所述逻辑电路。若干个门耦合在所述逻辑电路与所述若干个扫描触发器之间。选择所述门以保持所述逻辑电路的最小泄漏状态。根据本发明的另一方面,选择0门控扫描触发器和1门控扫描触发器以保持逻辑电路的最小逻辑状态。
本发明的一方面包含一种电路,其包含:q门逻辑,其用于使逻辑电路保持在最小泄漏状态。连接到q门逻辑的多路复用器电路为电路的若干操作模式断言最小泄漏状态。本发明的另一方面包含一种电路,其具有:用于使逻辑电路保持在最小泄漏状态的装置;以及用于为所述电路的若干个操作模式断言所述最小泄漏状态的装置。
本发明的另一方面包含一种电路,其具有:扫描路径,其包含扫描触发器链,所述扫描触发器链经配置以用于使测试向量移位到受测电路。多路复用器电路耦合到所述扫描路径的输入。所述多路复用器电路包含启用输入,且经配置以在所述启用输入的断言后即刻将逻辑“0”输出到扫描路径输入。
本发明的另一方面包含一种在集成电路设计中产生最小泄漏状态的方法。所述方法包含:在自动测试模式产生器工具中界定故障模型,其中每一故障表示所述集成电路设计中的标准单元的输入处的唯一布尔组合。针对所述故障中的每一者计算增益函数。所述增益函数表示对应故障的平均泄漏电力减少。以所述故障的所述所计算增益函数的次序对所述故障进行排序。通过执行自动测试模式产生来产生向量集,以用所述集的向量检测尽可能多的所述故障。选择所述集的向量,其中对应于通过所述向量检测到的所述故障的增益的总和为最大值。
本发明的另一方面包含一种用于在集成电路设计中产生最小泄漏状态的设备。所述设备包含:用于在自动测试模式产生器工具中界定故障模型的装置,其中每一故障表示所述集成电路设计中的标准单元的输入处的唯一布尔组合。所述设备还包含:用于针对所述故障中的每一者计算增益函数的装置;以及用于以所述故障的所述所计算增益函数的次序对所述故障进行排序的装置。所述设备还包含:用于通过执行自动测试模式产生来产生向量集以用所述集的向量检测尽可能多的所述故障的装置;以及用于选择所述集的向量的装置,其中对应于通过所述向量检测到的所述故障的增益的总和为最大值。
本发明的另一方面包含一种计算机程序产品,其包含计算机可读媒体,所述计算机可读媒体上记录有程序代码。所述程序代码包含:用以在自动测试模式产生器工具中界定故障模型的程序代码,其中每一故障表示所述集成电路设计中的标准单元的输入处的唯一布尔组合;用以针对所述故障中的每一者计算增益函数的程序代码,其中所述增益函数表示对应故障的平均泄漏电力减少;以及用以以所述故障的所述所计算增益函数的次序对所述故障进行排序的程序代码。所述程序代码还包含:用以通过执行自动测试模式产生来产生向量集以用所述集的向量检测尽可能多的所述故障的程序代码;以及用以选择所述集的向量的程序代码,其中对应于通过所述向量检测到的所述故障的增益的总和为最大值。
在另一方面中,一种电路包含:逻辑电路;以及扫描触发器,其耦合到所述逻辑电路。所述电路还包含用于保持所述逻辑电路的最小泄漏状态的装置,所述保持装置耦合在所述逻辑电路与所述多个扫描触发器之间。
在又一方面中,一种电路具有:用于使逻辑电路保持在最小泄漏状态的装置。所述电路还具有用于为所述电路的多个操作模式断言所述最小泄漏状态的装置。所述断言装置耦合到所述保持装置。
在另一方面中,一种电路具有用于使测试向量移位到受测电路的装置。所述电路还具有多路复用器电路,其耦合到所述移位装置的输入。所述多路复用器电路包含启用输入,且经配置以在所述启用输入的断言后即刻将逻辑“0”输出到扫描路径输入。
下文将描述本发明的额外特征和优点。所属领域的技术人员应了解,本发明可容易用作修改或设计其它结构的基础,以用于进行本发明的相同目的。所属领域的技术人员还应认识到,此些等效构造不脱离如所附权利要求书中所陈述的本发明的教示。据信为本发明的特性的新颖特征(关于其组织和操作方法)连同进一步目标和优点一起将从结合附图考虑时的以下描述中更好地理解。然而,将明确理解,仅出于说明和描述的目的提供图中的每一者,且图中的每一者无意作为对本发明的限制的界定。
附图说明
为了更完整地理解本发明,现在结合附图参考以下描述。
图1是说明用于测试逻辑电路的一组常规扫描触发器的框图。
图2是说明用于测试逻辑电路的常规门控q设计的电路示意图。
图3是说明用于测试逻辑电路的常规门控q设计的电路示意图。
图4是用于NAND门的标准符号的图以及用于实施NAND门的电路的示意图。
图5是根据本发明说明性实施例的用于实施逻辑锥的最小泄漏状态的逻辑电路的示意图。
图6是用以针对电路的多个操作模式实现电路的最小泄漏状态的OR门的符号表示。
图7是常规扫描触发器的符号表示。
图8是0/1门控扫描触发器的符号表示。
图9是根据本发明说明性实施例的用于实施扫描路径中的最小泄漏状态的电路的示意图。
图10是展示根据本发明说明性实施例的产生最小泄漏状态的方法的过程流程图。
图11是展示其中可有利地使用本发明的一实施例的示范性无线通信系统的框图。
图12是说明根据本发明实施例的用于半导体组件的电路、布局和逻辑设计的设计工作站的框图。
具体实施方式
本发明的实施例通过确定逻辑锥中的组合逻辑的减少的或最小泄漏状态且使组合逻辑在某些操作模式期间停留在其减少的或最小泄漏状态来减少泄漏电力。插入包含额外硬件的q门控单元,以门控扫描触发器q输出,并使逻辑锥停留在其最小泄漏状态。控制q门控单元,使得泄漏电力在若干电路模式期间减少,例如:功能休眠模式;移位模式;捕获模式以及其它测试模式操作。尽管贯穿本申请案使用术语“最小”,但应理解,意在涵盖减少的或最小。
根据本发明的方面,硬件开销可能被限制在用户界定的硬件开销预算内。通过仅在不位于时序关键路径中的触发器的输出处插入门控逻辑,电路的时序不降级。可使用自动测试模式产生(ATPG)工具来确定最小泄漏状态(MLS)。
一旦确定最小泄漏状态,就可将某一硬件添加到所述电路,以促进使逻辑电路停留在最小泄漏状态。参看图5,根据本发明的一个方面,可将OR门502与NOR门504的组合插入扫描触发器506与逻辑锥508之间,以使所述设计停留在其最小泄漏状态。举例来说,在如图5中所示仅具有三个触发器的电路中,已确定状态“001”为逻辑锥508的最小泄漏状态。为了使逻辑锥508停留在其最小泄漏状态,如图所示将状态“001”施加到逻辑锥508的输入。
根据本发明的方面,OR门502包含于硬件中以产生状态“001”的逻辑“1”位,且两个NOR门504包含于硬件中以产生状态“001”的逻辑“00”位。称为reduce_leakage的控制线510连接到OR门502和NOR门504。当断言控制线510时,整个逻辑锥508停留在其001状态,即最小泄漏状态。使从对应扫描触发器506到NOR门504中的每一者的输入反相,使得当不断言控制线510时,NOR门504发射从扫描触发器506接收到的相同值。
根据本发明的方面,在除测试模式期间之外的电路的某些正常操作模式期间,逻辑锥可停留在最小泄漏状态以保存电力。可在最小泄漏状态为所要的模式中的任一者下断言reduce_leakage信号。
参看图6,三输入OR门602多路复用三个单独控制信号,block_slp604、移位608以及core_testen_n608。“reduce_leakage”信号为应被断言以使电路停留在其最小泄漏状态的信号。如果断言block_slp604或断言移位606或不断言core_testen608,那么将断言reduce_leakage610信号。当逻辑锥中MLS产生硬件所连接到的电路块处于功能休眠模式时,断言block_slp604信号。如果所述块处于功能备用模式以减少功能模式期间的泄漏,那么断言信号“block_slp”。当逻辑锥处于移位模式时,断言移位606信号。在扫描移位模式期间断言信号“移位”以减少动态移位电力。core_testen_n608信号指示在测试模式期间核电路正被测试。如果所述特定块在Iddq测试模式期间不被测试,例如因此所述块在Iddq测试期间所汲取的泄漏电流最小化,那么断言信号“core_testen_n”。尽管仅将三个控制信号展示为到三输入OR门602的输入,但应理解,可对少于三个或多于三个信号进行“或”运算或以其它方式进行逻辑组合或多路复用,以减少操作的其它操作模式或其组合期间的泄漏。
根据本发明的替代实施例,可在正常扫描触发器内添加用以实施最小逻辑状态的门控逻辑硬件。图7展示标准扫描触发器700的实例。图8展示经修改扫描触发器800的实例,其在内部包含用以在断言(在此实例中)启用信号(标记为reduced_leakage)时保持逻辑1或0的额外硬件。对于图7中所示的触发器700,“d”为数据引脚,且“sin”为扫描输入引脚。标记为“scan_en”的引脚为扫描启用引脚。触发器700由“clk”引脚计时。标记为“q”的引脚表示FLOP输出,且标记为“sout”的引脚为扫描输出引脚。
在断言启用信号后即刻输出逻辑“1”的经修改扫描触发器被称为1门控扫描触发器,且可用以代替例如图5中所示的OR门和对应的扫描触发器。在断言启用信号后即刻输出逻辑“0”的经修改扫描触发器被称为0门控扫描触发器,且可用以代替图5中所示的NOR门和对应的扫描触发器。1门控扫描触发器和0门控扫描触发器二者均包含于标准单元库中。根据本发明的此方面,正常扫描触发器可在合成期间或合成之后与0/1门控扫描触发器交换,以产生最小逻辑状态。
本发明的另一方面减少扫描路径处的泄漏。参看图9描述基于核的测试性设计(CBDFT)实例,以说明如何减少与扫描路径相关联的泄漏。可将CBDFT设计分为若干核902(仅展示其中一者)。在核测试模式下,一次可在一个核902上执行测试。
已观察到个别扫描触发器904的泄漏电力在SIN=0状态下比在SIN=1状态下低得多。标记为“core_testen”的引脚表示控制信号,其指示所述特定核902当前是否在受测。根据本发明的此方面,处于核测试模式的CBDFT核的扫描路径906与逻辑“0”多路复用,使得每当特定核902不在被测试时,就断言core_testen信号,并使逻辑0移位到扫描路径中。这在每当特定核902不在被测试时将扫描路径初始化为特定核902中的逻辑“0”,并使不在被测试的核进入其最小泄漏状态。仅添加少量的额外逻辑硬件来实施上文所述的多路复用,以便减少不在受测的核的扫描路径中的泄漏。在其中核可包含解压缩器电路的某些实施例中,在解压缩器电路的输出处添加额外逻辑硬件。
在另一CBDFT实例中,可在“最高测试”模式期间使扫描路径初始化为0。在最高测试模式期间,核中的内部扫描链并不执行启动或捕获操作,且因此可在测试期间被置于其最小泄漏状态。此技术的硬件开销是可忽略的,且包含一个多路复用器,其可例如实施为设计中的每一桩的单个AND(“与”)门。
上文所述的核测试模式实例和最高测试模式实例是本发明的特定方面,其中扫描链所消耗的泄漏电力针对具有CBDFT方法的设计而减少。尽管已描述了用于减少CBDFT实例中的扫描路径处的泄漏的方面,但应理解,这些方面也可应用于非基于CBDFT的设计,其中一个或一个以上扫描路径在测试模式期间为不活动。
本发明的方面还包含使用现存工具来确定IC设计的最小泄漏状态的方法。在说明性实施例中,将产生IC设计中的组合逻辑的最小泄漏状态的问题建模为自动测试模式产生器(ATPG)问题,使得可使用现存ATPG工具来产生最小逻辑状态。根据本发明说明性实施例的可用于最小泄漏状态产生的常见ATPG工具的实例为加利福尼亚州圣何塞市的凯登斯设计系统公司(CadenceDesignSystems)的“EncounterTest”。
参看图10描述根据本发明的方面的用于使用ATPG工具来确定最小泄漏状态的方法。在框1002中,为ATPG工具界定新故障模型。所述新故障模型表示设计中的标准单元的输入处的唯一布尔组合。在此故障模型中,观察不到内部节点。举例来说,对于2输入AND门,产生四个故障F1、F2、F3和F4。故障F1需要“00”输入用于检测,故障F2需要“01”输入用于检测,故障F3需要“10”输入用于检测,且F4需要“11”输入用于其检测。将此故障模型用作将MLS产生问题转变为ATPG问题的机制。所述机制与任何物理缺陷无关。
在框1004中,针对每一故障计算增益值。对于对应于将应用于输入处的特定布尔模式“P”的每一故障“f”,计算增益函数G(f)。增益函数G(f)表示通过将“P”应用于特定门的输入处而产生的平均泄漏电力减少。这可例如使用标准ATPG工具的“.lib”文件来执行。
在框1006中,通过增益G(f)以降序对故障进行排序。接着,在框1008中,以非常高的紧密度等级针对故障执行自动测试模式产生(ATPG)过程,以用向量Vj检测尽可能多的故障fi(i=1....k)。
在框1010中,从ATPG向量集选择向量Vj,使得增益G(fi)[i=1...k]的总和为最大值。此处,fi为由框1008中的Vj检测的故障集。
在框1012中,将最小泄漏状态逻辑插入到IC电路设计中。对于扫描单元“k”的每一关注位Sk,如果Sk=“1”,那么在扫描单元k的输出处将OR门插入电路设计中,且如果Sk=“0”,那么在扫描单元k的输出处将NOR门插入电路设计中。这有效地硬译码电路设计中的向量“Vj”。
根据本发明的方面,可使用ATPG工具来避免修改任何时序关键路径。在ATPG过程期间,可将所有时序关键触发器建模为X产生器。这防止了ATPG工具将关注位放入时序关键触发器中,且防止对时序关键路径的修改。
本发明的方面可遵照用户界定的门预算,同时为预算数目个门优化IC设计中的泄漏减少。因为通过将逻辑门添加到设计,向量“Vj”中的每一关注位增加了硬件开销,因此可选择具有不多于预定硬件预算所允许的额外逻辑门的数目的关注位的向量。超过预算的若干个门可从设计删除。根据本发明,可通过识别促进检测具有最小增益值的故障的对应关注位来选择待删除的门。
图11是展示其中可有利地使用本发明的一实施例的示范性无线通信系统1100的框图。出于说明的目的,图11展示三个远程单元1120、1130和1150,以及两个基站1140。将认识到,无线通信系统可具有更多的远程单元和基站。远程单元1120、1130和1150包含IC装置1125A、1125C和1125B,其包含所揭示的电路。将认识到,含有IC的任何装置也可包含本文所揭示的电路,包含基站、切换装置以及网络设备。图11展示从基站1140到远程单元1120、1130和1150的前向链路信号1180,以及从远程单元1120、1130和1150到基站1140的反向链路信号1190。
在图11中,将远程单元1120展示为移动电话,将远程单元1130展示为便携式计算机,且将远程单元1150展示为在无线本地环路系统中的固定位置远程单元。举例来说,远程单元可为移动电话、手持式个人通信系统(PCS)单元、便携式数据单元(例如个人数据助理)、具有GPS能力的装置、导航装置、机顶盒、音乐播放器、视频播放器、娱乐单元、固定位置数据单元(例如仪表读取设备),或存储或检索数据或计算机指令的任何其它装置,或其任何组合。虽然图11说明根据本发明的教示的远程单元,但本发明不限于这些示范性所说明单元。可在包含集成电路(IC)的任何装置中合适地使用本发明的实施例。
图12是说明用于半导体组件(例如如上文所揭示的MLS产生电路)的电路、布局和逻辑设计的设计工作站的框图。设计工作站1200包含硬盘1201,硬盘1201含有操作系统软件、支持文件和例如Cadence或OrCAD等设计软件。设计工作站1200还包含显示器以促进电路1210或半导体组件1212(例如具有MLS产生电路的经封装集成电路)的设计。提供存储媒体1204,以用于有形地存储电路设计1210或半导体组件1212。电路设计1210或半导体组件1212可以例如GDSII或GERBER等文件格式存储在存储媒体1204上。存储媒体1204可为CD-ROM、DVD、硬盘、快闪存储器或其它适当装置。此外,设计工作站1200包含驱动设备1203,用于接受来自存储媒体1204的输入或将输出写入到存储媒体1204。
记录在存储媒体1204上的数据可指定逻辑电路配置、光刻掩模的图案数据,或例如电子束光刻等串行写入工具的掩模图案数据。所述数据可进一步包含例如与逻辑仿真相关联的时序图或网状电路等逻辑检验数据。在存储媒体1204上提供数据通过减少用于设计半导体晶片的过程的数目来促进电路设计1210或半导体组件1212的设计。
对于固件及/或软件实施方案,可用执行本文所描述的功能的模块(例如,过程、函数等)来实施所述方法。可使用有形地包含指令的任何机器可读媒体实施本文所述的方法。举例来说,软件代码可存储在存储器中且由处理器单元执行。可在处理器单元内或处理器单元外部实施存储器。如本文中所使用,术语“存储器”指代任何类型的长期、短期、易失性、非易失性或其它存储器,且不限于任何特定类型的存储器或存储器数目,或其上可存储存储器的媒体的类型。
如果在固件和/或软件中实施,那么可将功能存储为计算机可读媒体上的一个或一个以上指令或代码。实例包含编码有数据结构的计算机可读媒体以及编码有计算机程序的计算机可读媒体。计算机可读媒体包含物理计算机存储媒体。存储媒体可为可由计算机存取的任何可用媒体。举例来说,且无限制,此计算机可读媒体可包括RAM、ROM、EEPROM、CD-ROM或其它光盘存储装置、磁盘存储装置或其它磁性存储装置,或任何其它可用于以指令或数据结构的形式存储所要程序代码且可由计算机存取的媒体;如本文使用,磁盘和光盘包含压缩光盘(CD)、激光光盘、光学光盘、数字多功能光盘(DVD)、软性磁盘和蓝光光盘,其中磁盘通常以磁性方式再现数据,而光盘用激光以光学方式再现数据。以上各项的组合也应包含在计算机可读媒体的范围内。
除存储在计算机可读媒体上之外,可提供指令和/或数据作为包含于通信设备中的发射媒体上的信号。举例来说,通信设备可包含具有指示指令和数据的信号的收发器。所述指令和数据经配置以致使一个或一个以上处理器实施所附权利要求书中所述的功能。
虽然已陈述特定电路,但所属领域的技术人员将了解,实践本发明并不需要所揭示的电路中的全部。此外,为了保持集中于本发明而未描述某些众所周知的电路。
虽然已详细描述本发明和其优点,但应理解,在不脱离如所附权利要求书所界定的本发明的技术的情况下,可在本文中作出各种改变、替代和变更。此外,本申请案的范围既定不限制于说明书中所描述的过程、机器、制品、物质组成、手段、方法及步骤的特定实施例。如所属领域的技术人员将容易从本发明了解的,可根据本发明利用目前现有或稍后将开发的执行与本文中所描述的对应实施例大体上相同的功能或实现与其大体上相同的结果的过程、机器、制品、物质组成、手段、方法或步骤。因此,所附权利要求书既定在其范围内包含此些过程、机器、制品、物质组成、手段、方法或步骤。

Claims (9)

1.一种扫描电路,其包括:
逻辑电路;
多个扫描触发器,其耦合到所述逻辑电路;
多个门,其耦合在所述逻辑电路与所述多个扫描触发器之间;以及
控制节点,其耦合到所述多个门中的每一者的第一输入;
所述多个扫描触发器中的每一者包含耦合到所述多个门中的对应一者的第二输入的q输出;
所述多个门经配置以在于所述控制节点上断言启用信号时防止所述逻辑电路的来回切换;
所述多个门进一步经配置以响应于所述控制节点上的所述启用信号将最小泄漏状态向量输出到所述逻辑电路,且响应于所述启用信号在所述控制节点上的不存在而将信号从所述q输出中的每一者传递到所述逻辑电路。
2.根据权利要求1所述的电路,其中所述多个门包括:
用于所述扫描触发器中的每一者的OR门,其中所述最小泄漏状态向量包含到所述逻辑电路的逻辑“1”输入;以及
用于所述扫描触发器中的每一者的NOR门,其中所述最小泄漏状态向量包含到所述逻辑电路的逻辑“0”输入。
3.根据权利要求2所述的电路,其进一步包括:
控制电路OR门,其具有连接到所述控制节点的输入的输出,以将所述启用信号提供给所述多个门中的每一者,所述控制电路OR门具有对应于为之实施所述最小泄漏状态向量的操作模式的多个输入。
4.根据权利要求3所述的电路,其中所述操作模式包含块休眠模式、移位模式和非核测试模式。
5.根据权利要求1所述的电路,其集成到移动电话、机顶盒、音乐播放器、视频播放器、娱乐单元、导航装置、计算机、手持式个人通信系统PCS单元、便携式数据单元和固定位置数据单元中的至少一者中。
6.一种扫描电路,其包括:
逻辑电路;
多个扫描触发器,其耦合到所述逻辑电路;以及
控制节点,其耦合到所述多个扫描触发器中的每一者的第一输入;
所述多个扫描触发器中的每一者包含耦合到所述逻辑电路的q输出;
所述多个扫描触发器经配置以在于所述控制节点上断言启用信号时防止所述逻辑电路的来回切换;
所述多个扫描触发器包括:0门控触发器,其经配置以响应于所述控制节点上的所述启用信号将最小泄漏状态向量的每一0值位输出到所述逻辑电路;以及1门控触发器,其经配置以响应于所述控制节点上的所述启用信号将所述最小泄漏状态向量的每一1值位输出到所述逻辑电路,且响应于减少泄漏信号在所述控制节点上的不存在而将信号从所述q输出中的每一者传递到所述逻辑电路。
7.根据权利要求6所述的电路,其集成到移动电话、机顶盒、音乐播放器、视频播放器、娱乐单元、导航装置、计算机、手持式个人通信系统PCS单元、便携式数据单元和固定位置数据单元中的至少一者中。
8.一种扫描电路,其包括:
逻辑电路;
多个扫描触发器,其耦合到所述逻辑电路;以及
用以在启用信号经断言时防止所述逻辑电路的来回切换的装置;
所述用以防止来回切换的装置经配置以用于响应于所述启用信号将最小泄漏状态向量应用于所述逻辑电路,所述用以防止来回切换的装置耦合在所述逻辑电路与所述多个扫描触发器中的每一者的q输出之间。
9.根据权利要求8所述的电路,其集成到移动电话、机顶盒、音乐播放器、视频播放器、娱乐单元、导航装置、计算机、手持式个人通信系统PCS单元、便携式数据单元和固定位置数据单元中的至少一者中。
CN201180049155.9A 2010-09-17 2011-09-15 扫描电路 Active CN103154754B (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610085456.1A CN105738799B (zh) 2010-09-17 2011-09-15 扫描电路

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/884,482 US8456193B2 (en) 2010-09-17 2010-09-17 Integrated circuit leakage power reduction using enhanced gated-Q scan techniques
US12/884,482 2010-09-17
PCT/US2011/051745 WO2012037338A1 (en) 2010-09-17 2011-09-15 Integrated circuit leakage power reduction using enhanced gated-q scan techniques

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610085456.1A Division CN105738799B (zh) 2010-09-17 2011-09-15 扫描电路

Publications (2)

Publication Number Publication Date
CN103154754A CN103154754A (zh) 2013-06-12
CN103154754B true CN103154754B (zh) 2016-03-16

Family

ID=44720146

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610085456.1A Active CN105738799B (zh) 2010-09-17 2011-09-15 扫描电路
CN201180049155.9A Active CN103154754B (zh) 2010-09-17 2011-09-15 扫描电路

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201610085456.1A Active CN105738799B (zh) 2010-09-17 2011-09-15 扫描电路

Country Status (6)

Country Link
US (2) US8456193B2 (zh)
EP (1) EP2616828B1 (zh)
JP (2) JP6076256B2 (zh)
KR (2) KR101613445B1 (zh)
CN (2) CN105738799B (zh)
WO (1) WO2012037338A1 (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8456193B2 (en) 2010-09-17 2013-06-04 Qualcomm Incorporated Integrated circuit leakage power reduction using enhanced gated-Q scan techniques
US8898604B1 (en) 2013-07-16 2014-11-25 International Business Machines Corporation Algorithm to identify best Q-gating candidates and a Q-gating cell architecture to satiate the launch-off-shift (LOS) testing
US9086458B2 (en) * 2013-08-28 2015-07-21 International Business Machines Corporation Q-gating cell architecture to satiate the launch-off-shift (LOS) testing and an algorithm to identify best Q-gating candidates
US9100002B2 (en) * 2013-09-12 2015-08-04 Micron Technology, Inc. Apparatus and methods for leakage current reduction in integrated circuits
US9496851B2 (en) * 2014-09-10 2016-11-15 Qualcomm Incorporated Systems and methods for setting logic to a desired leakage state
CN105631077B (zh) * 2014-11-07 2020-05-15 恩智浦美国有限公司 具有增大的故障覆盖率的集成电路
KR20160072714A (ko) 2014-12-15 2016-06-23 한국전자통신연구원 연동 콘텐츠 제공 장치 및 제공 방법
TWI611310B (zh) 2016-12-01 2018-01-11 財團法人工業技術研究院 電源容錯分析方法及系統
US11231462B1 (en) * 2019-06-28 2022-01-25 Synopsys, Inc. Augmenting an integrated circuit (IC) design simulation model to improve performance during verification
KR102613884B1 (ko) 2023-10-13 2023-12-14 위더맥스(주) Dvd 개선을 위한 q-게이팅 적용 장치 및 방법

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5539344A (en) * 1993-04-13 1996-07-23 Mitsubishi Denki Kabushiki Kaisha Phase-locked circuit and interated circuit device
CN1991688A (zh) * 2005-10-13 2007-07-04 Arm有限公司 在操作和睡眠模式下的数据保持
CN101185049A (zh) * 2005-03-24 2008-05-21 Arm有限公司 在运行和休眠模式中存储数据的电路和方法
CN101216532A (zh) * 2008-01-16 2008-07-09 闫永志 一种时序电路中降低扫描功耗的方法
CN101689857A (zh) * 2007-07-10 2010-03-31 高通股份有限公司 具有用于减少泄漏的局部电力块的电路

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4425666A (en) 1982-01-29 1984-01-10 Motorola Inc. Data encoding and decoding communication system for three frequency FSK modulation and method therefor
US5059819A (en) * 1986-12-26 1991-10-22 Hitachi, Ltd. Integrated logic circuit
US5254888A (en) 1992-03-27 1993-10-19 Picopower Technology Inc. Switchable clock circuit for microprocessors to thereby save power
KR960009973B1 (ko) * 1994-03-31 1996-07-25 금성일렉트론 주식회사 피엘엘(pll) 회로
JP3595713B2 (ja) * 1999-02-04 2004-12-02 株式会社リコー テスト容易化設計支援システム
JP3420142B2 (ja) * 1999-11-11 2003-06-23 Necエレクトロニクス株式会社 スキャンパステスト用のフリップフロップ回路
JP2002185309A (ja) * 2000-12-18 2002-06-28 Hitachi Ltd データ保持回路および半導体装置並びに半導体装置の設計方法
JP2002299454A (ja) * 2001-04-02 2002-10-11 Toshiba Corp 論理回路設計方法、論理回路設計装置及び論理回路マッピング方法
US6473485B1 (en) * 2001-09-10 2002-10-29 Micrel, Incorporated Charge pump leakage current compensation systems and methods
US6677783B2 (en) * 2001-12-31 2004-01-13 Intel Corporation High-speed, state-preserving, race-reducing, wide-pulsed-clock domino design style
CN100344061C (zh) 2002-02-06 2007-10-17 Nxp股份有限公司 低功耗的数字电子电路
JP2003255024A (ja) 2002-03-01 2003-09-10 Toshiba Corp 半導体装置
US20030188241A1 (en) 2002-03-29 2003-10-02 International Business Machines Corporation CMOS low leakage power-down data retention mechanism
US7442997B2 (en) * 2002-08-28 2008-10-28 Guobiao Zhang Three-dimensional memory cells
KR100505662B1 (ko) * 2002-12-30 2005-08-03 삼성전자주식회사 칩 사이즈를 감소시키는 스캔 테스트 회로를 구비한반도체 장치, 및 그 테스트 방법
US7002374B2 (en) * 2003-02-12 2006-02-21 Stmicroelectronics, Inc. Domino logic compatible scannable flip-flop
JP4416469B2 (ja) 2003-10-07 2010-02-17 パナソニック株式会社 半導体集積回路およびその設計方法
JP2005148837A (ja) 2003-11-11 2005-06-09 Kawasaki Microelectronics Kk スキャンテスト回路
JP2006020433A (ja) 2004-07-02 2006-01-19 Mitsubishi Electric Corp Dcブラシレスモータ及び誘導電動機並びに送風装置
US7620133B2 (en) * 2004-11-08 2009-11-17 Motorola, Inc. Method and apparatus for a digital-to-phase converter
US7203876B2 (en) 2004-11-30 2007-04-10 International Business Machines Corporation Method and apparatus for controlling AC power during scan operations in scannable latches
JP2006220433A (ja) * 2005-02-08 2006-08-24 Kawasaki Microelectronics Kk 半導体装置およびリーク電流低減化方法
JP4986863B2 (ja) * 2005-12-14 2012-07-25 シャープ株式会社 放電灯点灯装置
US7355440B1 (en) * 2005-12-23 2008-04-08 Altera Corporation Method of reducing leakage current using sleep transistors in programmable logic device
US7992062B2 (en) 2006-06-22 2011-08-02 Qualcomm Incorporated Logic device and method supporting scan test
US7757137B2 (en) * 2007-03-27 2010-07-13 International Business Machines Corporation Method and apparatus for on-the-fly minimum power state transition
US7949971B2 (en) * 2007-03-27 2011-05-24 International Business Machines Corporation Method and apparatus for on-the-fly minimum power state transition
GB2447944B (en) * 2007-03-28 2011-06-29 Advanced Risc Mach Ltd Reducing leakage power in low power mode
US7966538B2 (en) * 2007-10-18 2011-06-21 The Regents Of The University Of Michigan Microprocessor and method for detecting faults therein
US7996695B2 (en) 2008-02-15 2011-08-09 Qualcomm Incorporated Circuits and methods for sleep state leakage current reduction
JP2009205414A (ja) 2008-02-27 2009-09-10 Nec Electronics Corp 半導体集積回路及びその設計方法並びに半導体集積回路設計装置
US7877715B1 (en) * 2008-03-28 2011-01-25 Cadence Design Systems, Inc. Method and apparatus to use physical design information to detect IR drop prone test patterns
JP2009175154A (ja) 2009-04-27 2009-08-06 Panasonic Corp 半導体集積回路およびその設計方法
US8456193B2 (en) * 2010-09-17 2013-06-04 Qualcomm Incorporated Integrated circuit leakage power reduction using enhanced gated-Q scan techniques

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5539344A (en) * 1993-04-13 1996-07-23 Mitsubishi Denki Kabushiki Kaisha Phase-locked circuit and interated circuit device
CN101185049A (zh) * 2005-03-24 2008-05-21 Arm有限公司 在运行和休眠模式中存储数据的电路和方法
CN1991688A (zh) * 2005-10-13 2007-07-04 Arm有限公司 在操作和睡眠模式下的数据保持
CN101689857A (zh) * 2007-07-10 2010-03-31 高通股份有限公司 具有用于减少泄漏的局部电力块的电路
CN101216532A (zh) * 2008-01-16 2008-07-09 闫永志 一种时序电路中降低扫描功耗的方法

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
Customizing pattern set for test power reduction via improved X-identification and reordering;Krishna K.S. et al.;《LOW-POWER ELECTRONICS AND DESIGN(ISLPED)》;20100818;177-182 *
Deterministic Algorithms for ATPG under Leakage Constraints;GA ARSCHWIN FEY;《ASIAN TEST SYMPOSIUM,2009》;20091123;313-316 *
Leakage current reduction in sequential circuits by modifying the scan chains;Abdollahi A. et al.;《PROCEEDINGS IEEE INTERNATIONAL SYMPOSIUM ON QUALITY ELECTRONICDESIGN》;20030324;1-6 *
Targeting Leakage Constraints during ATPG;Ga Arschwin Fey et al.;《ASIAN TEST SYMPOSIUM,2008》;20081124;225-230 *
Two techniques for minimizing power dissipation in scan circuits during test application;Chakravarth S. et al.;《TEST SYMPOSIUM,1994》;19941115;324-329 *

Also Published As

Publication number Publication date
KR20130089256A (ko) 2013-08-09
US20120068734A1 (en) 2012-03-22
KR20160047586A (ko) 2016-05-02
JP6076256B2 (ja) 2017-02-08
JP6092300B2 (ja) 2017-03-08
WO2012037338A1 (en) 2012-03-22
CN103154754A (zh) 2013-06-12
US9584120B2 (en) 2017-02-28
KR101871078B1 (ko) 2018-06-25
US20130241593A1 (en) 2013-09-19
US8456193B2 (en) 2013-06-04
CN105738799A (zh) 2016-07-06
JP2015158511A (ja) 2015-09-03
KR101613445B1 (ko) 2016-04-19
EP2616828A1 (en) 2013-07-24
JP2013543580A (ja) 2013-12-05
EP2616828B1 (en) 2018-08-29
CN105738799B (zh) 2019-06-14

Similar Documents

Publication Publication Date Title
CN103154754B (zh) 扫描电路
US20140149812A1 (en) Scan test circuitry with control circuitry configured to support a debug mode of operation
WO2004102803A2 (en) A scalable scan-path test point insertion technique
EP2240790A1 (en) Apparatus and method for isolating portions of a scan path of a system-on-chip
US8127191B2 (en) Control method for semiconductor integrated circuit and semiconductor integrated circuit
US20130311843A1 (en) Scan controller configured to control signal values applied to signal lines of circuit core input interface
US8806416B1 (en) Method and circuit to implement a static low power retention state
Tseng Scan chain ordering technique for switching activity reduction during scan test
Xu et al. Achieving high transition delay fault coverage with partial DTSFF scan chains
WO2013138467A1 (en) Power gating for high speed xbar architecture
US20100235692A1 (en) Memory test circuit and processor
US20020075058A1 (en) Apparatus for low-power, high performance, and cycle accurate test simulation
Bhunia et al. A novel low-power scan design technique using supply gating
Cantoro et al. An Enhanced Evolutionary Technique for the Generation of Compact Reconfigurable Scan-Network Tests
Mu et al. Testing methods for detecting stuck-open power switches in coarse-grain mtcmos designs
Jelodar et al. Power aware scan-based testing using genetic algorithm
Chakraborty Heuristics Based Test Overhead Reduction Techniques in VLSI Circuits
Guazzelli test and side-channel analysis of asynchronous circuits
Kundu et al. Scan-chain masking technique for low power circuit testing
Kumar Generation of compact test sets and a design for the generation of tests with low switching activity
Girard et al. Low power scan chain design: A solution for an efficient tradeoff between test power and scan routing
Wunderlich et al. Power-aware design-for-test
Gläser Mixed level test generation for synchronous sequential circuits using the FOGBUSTER algorithm
Lahiri Tree-based hybrid scan architecture for VLSI testing
Chen et al. Segment based x-filling for low power and high defect coverage

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant