JP5836932B2 - レジストストリッピング組成物及び電気装置を製造するための方法 - Google Patents

レジストストリッピング組成物及び電気装置を製造するための方法 Download PDF

Info

Publication number
JP5836932B2
JP5836932B2 JP2012508978A JP2012508978A JP5836932B2 JP 5836932 B2 JP5836932 B2 JP 5836932B2 JP 2012508978 A JP2012508978 A JP 2012508978A JP 2012508978 A JP2012508978 A JP 2012508978A JP 5836932 B2 JP5836932 B2 JP 5836932B2
Authority
JP
Japan
Prior art keywords
composition
layer
resist
weight
hydroxylamine
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012508978A
Other languages
English (en)
Other versions
JP2012526295A5 (ja
JP2012526295A (ja
Inventor
クリップ,アンドレアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of JP2012526295A publication Critical patent/JP2012526295A/ja
Publication of JP2012526295A5 publication Critical patent/JP2012526295A5/ja
Application granted granted Critical
Publication of JP5836932B2 publication Critical patent/JP5836932B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Detergent Compositions (AREA)
  • Paints Or Removers (AREA)

Description

本発明は、基材、特に銅及び低誘電率材料(low-k material)又は超低誘電率材料(ultra low-k material)を含む半導体基材からパターン化されたレジストを除去するために有用な新しいレジストストリッピング組成物に関する。
更に、本発明は、電気装置、特に半導体集積回路(ICs)、液晶パネル、有機エレクトロルミネセントパネル、プリント基板、マイクロマシン、DNAチップ及びマイクロプラント、特にICsを製造するための新しい方法に関し、この新しい方法は、新しいレジストストリッピング組成物を使用するものである。
種々の電気装置、例えば、半導体集積回路(ICs)、液晶パネル、有機エレクトロルミネセントパネル、プリント基板、マイクロマシン、DNAチップ及びマイクロプラント、特にLSI(large−scale integration;大規模集積回路)又はVLSI(very−large−scale integration;超大規模集積回路)を製造するために、遠UVフォトレジスト、又はエレクトロビームレジストが、マイクロリソグラフィー技術に使用される。
今日、銅は、電気装置中の、特にICs中に含まれるビア中及び相互接続(インターコネクト)中の低電気抵抗又は配線材料に、通常使用される。銅の使用量が増し、及び電気構造体の寸法が小さくなり、及びICsの機能が増加し、配線抵抗、及び高い配線容量に起因する配線遅延の問題を回避するために、低誘電率材料(low-k layer)又は超低誘電率材料を使用する必要がある。これらの挑戦的な発展が必要とされており、そしてなお、製造方法とこのために使用される材料の、絶え間ない最適化を行う必要がある。
銅材料配線を形成する際、特に、(デュアルダマセン法(dual damascene process)を使用することによって)銅をエッチングすることなく銅複数層配線が形成される方法が使用される。銅のエチングに対する耐性は低いので、デュアルダマセン法の種々の種類のものが提案されてきた。これらの中の一例は、銅層及び銅層の頂部に低誘電率層(例えばSiOC層)を形成し、次にレジスト層を最上層として形成することを含む。任意に、金属窒化物層(例えば、TiN層)を(レジスト層が施される前に)低誘電率層の頂部に形成することができる。他の変形例では、バリア反射防止層(BARC)が、金属窒化物層とレシスト層の間に配置される。
この後、レジスト層は、電磁放射又は電子ビームに、選択的に曝され、レジストパターン(「第1のフォトレジストパターン」)が形成される。次に、第1のレジストパターンをマスクパターンとして使用して、低誘電率材料又は超低誘電率材料が、フッ素含有プラズマを使用して、部分的に乾燥−エッチングされる。この工程での金属窒化物層の共同使用は、通常、「硬質マスク技術」といわれている。この後、第1のレジストパターンが、オキシジェンプラズマアッシング処理によってストリップ除去される。このようにして、配線トレンチが形成される。
次に、他のレジストパターン(「第2のレジストパターン」)が、残留する複数層構造体の最上層として新しく形成され、そして(第2のフォトレジストパターンをマスクパターンとして使用することにより、)残っている低誘電率層、又は超低誘電率層が再度、部分的にエッチング除去され、これにより、配線トレンチ及び下側の銅インターコネクト配線と連結しているビアホールが形成される。この後、オキシジェンプラズマアッシング処理を使用して、第2のフォトレジストパターンもストリップ除去される。
次に配線トレンチ、及びビアホールが、好ましくは電気メッキを使用して、銅で充填され、これにより、複数層配線コンダクターが形成される。
これらの方法に使用するための基材には、任意に、バリア層(例えば、SiN層又はSiC層)がエッチ−ストップ層として銅層と低誘電率層の間に設けられても良い。このような場合、ビアホールとトレンチが形成され、そして次に、基材上に曝されたバリア層がその状態で保持される間、又はバリア層が除去された後、フォトレジスト層がストリップ除去され、そしてこの後、ビアホール及び配線トレンチが銅で充填される。
上述したデュアルダマセン法では、(トレンチ上にビアホールを形成するためのエッチング処理、及びオキシジェンプラズマアッシング処理の間)低誘電体層に起因するシリコン沈澱(silicon deposition)が容易に起き易く、そしてこのことは、トレンチの開口部の周囲にシリコン沈澱物を形成する。更に、レジストに起因する沈澱も発生し得る。これらの沈澱物が完全に除去されない場合には、これらは、半導体の製造において収率を大きく低下させる。
従って、金属配線のための通常のパターン化で、レジストパターンとエッチング残留物を除去するために、オキシジェンプラズマアッシング処理が使用されてきた。しかしながら、超−マイクロパターン化技術が発達し、誘電率がより低い材料、例えば超低誘電率材料を低誘電率層の絶縁のために使用する必要がある。現在、誘電率が3以下の超低誘電率層を使用する方法が開発されている。しかしながら、超低誘電率材料は、アッシング(ashing)に対して耐性(抵抗性)が弱く、又は耐性が全くない。この結果、このような超誘電率材料が使用される場合、エッチングの後にオキシジェンプラズマアッシングを含まない方法を使用しなければならない。
この目的のために、いわゆるオールウェットポストエッチ残留物除去(PERR)法が開発され、そして従来技術に開示されている。
特許文献1(米国特許出願US2003/0148624A1)には、アッシュ処理の、及び非アッシュ処理の残留物を除去するためのレジストストリッピング組成物が開示されている。上記組成物は、水酸化第4級アンモニウム、例えばテトラメチルアンモニウムヒドロキシド(TMAH)、及び有機溶媒、例えばエタノールアミン、1−アミノ−2−プロパノール、アミノエトキシエタノール、1−メチルアミノエタノール、ジメチルスルホキシド、N−メチルピロリドン、ジエチレングリコールモノメチルエーテル、又はジエチレングリコールモノブチルエーテルを含んでいる。実施例は、特に、5質量%のエタノールアミン、50質量%のジメチルスルホキシド、5質量%のプロピレングリコール、0.05質量%のTMAH、39.55質量%の水、及び1ppm以下の溶解した酸素をから成るレジストストリッピング組成物、及び28質量%の1−アミノ−2−プロパノール、62質量%のN−メチルピロリドン、1質量%のTMAH、9質量%の水、及び1ppmの溶解した酸素から成るレジストストリッピング組成物を開示している。これらの従来技術のレジストストリッピング組成物が使用される工程では、1質量%以上の過酸化水素及びアンモニア、又はアンモニウムイオンを含む特定の洗浄組成物でレジストを予め洗浄しなければならない。
特許文献2(米国特許出願US2004/0106531A1)及び対応する米国特許US7250391B2には、レジストストリッピング組成物であって、以下の、
(A)フッ化水素酸と金属非含有の塩基の塩、
(B1)水溶性有機溶媒、
(C)有機酸と無機酸から成る群から選ばれる酸、及び
(D)水、
を必須の成分として、及び
(E)アンモニウム塩、
を任意の成分として含むレジストストリッピング組成物が開示されている。
エタノールアミン、イソプロパノールアミン、2−(2−アミノエチルアミノ)エタノール、N−メチルエタノールアミン、N−エチルエタノールアミン、ジシクロヘキシルアミン、及びTMAHが、金属非含有の塩基として使用されても良い。完全な(A)−成分が、レジストストリッピング組成物の質量に対して0.01〜1質量%の量で使用されることが好ましい。ジホスホン酸(C)と一緒に使用される場合、金属非含有の塩基は、レジストストリッピング組成物の質量に対して0.1〜20質量%の量で使用することができる。
ジエチレングリコールモノエチルエーテル、ジエチレングリコールモノブチルエーテル、N−メチルピロリドン、及びジメチルスルフォキシドを、水溶性有機溶媒(B)として使用することができる。
特許文献3(国際出願WO2004/100245A1)には、HSiF及び/又はHBFを、好ましくは組成物の0.001〜5質量%で、有機溶媒を、好ましくは組成物の50〜89質量%の量で、任意に、アミンを、好ましくは組成物の1.5質量%未満の量で、防蝕剤を、好ましくは組成物の0.001〜10質量%の量で、及び水をバランスとして含むレジストストリッピング組成物を開示している。N−メチルピロリドン、ジエチレングリコールモノメチルエーテル、又はジエチレングリコールモノブチルエーテルを、有機溶媒として使用することができる。イソプロパノールアミン、2−(2−アミノエチルアミノ)エタノール、2−(2−アミノエトキシ)エタノール、及びエタノールアミンを任意のアミンとして使用することができる。実質的に有機溶媒を含まない、いわゆる高水分の実施の形態で、TMAHが唯一使用されている。
関連する特許文献4(米国特許出願US2005/0176259A1)及び特許文献5(US2007/0298619A1)は、所定のレジストストリッピング組成物を開示している。このレジストストリッピング組成物は、水酸化第4級アンモニウム、例えばTMAHを、好ましくは組成物の1〜20質量%の量で、水を、好ましくは組成物の5〜60質量%の量で、水溶性有機溶媒、例えばジメチルフルフォキシド、N−メチルピロリドン、ジエチレングリコールモノメチルエーテル、ジエチレングリコールモノブチルエーテル、及び水溶性アミン、例えば、エタノールアミン、イソプロパノールアミン、ジエシレントリアミン、2−(2−アミノエトキシ)エタノール、又はN−メシルエタノールアミンを、好ましくは組成物の10〜50質量%の量で含んでいる。これらの従来技術のレジストストリッピング組成物は、ストリップの前に、パターン化されたレジストが、オゾン水、及び/又は過酸化水素水溶液で予め処理する必要がある方法で使用される。
特許文献6(米国特許出願US2005/0014667A1)及びその対応する特許US7399365B2には、所定のレジストストリッピング組成物の希釈水溶液が開示されている。このレジストストリッピング組成物は、例えば、組成物の0.02〜0.18質量%のアンモニウムフルオリド、組成物の20〜40質量%の水、組成物の59〜85質量%のアミド、及びエーテル溶媒、例えばジエチレングリコールモノエチルエーテル、ジエチレングリコールモノブチルエーテル、及びN−メチルピロリドン、0.2〜5質量%の酸、0.2〜5質量%のアルカノールアミン、例えばエタノールアミン、イソプロパノールアミン、N−メチレンエタノールアミン、又は2−(2−アミノエチルアミノ)エタノール、及び組成物の0.2〜5質量%の水酸化第4級アンモニウム、例えばTMAHを含んでいる。これらの従来技術のレジストストリッピング組成物は、アッシュ処理された、及びアッシュ処理されていないレジストを除去するために使用することができる。
関連する特許文献7(米国特許出願US2005/0266683A1)及び特許文献8(US2005/0263743A1)には、所定のレジストストリッピング組成物が開示されている。このレジストストリッピング組成物は、水酸化第4級アンモニウム、例えばTMAHを、好ましくは組成物の1〜30質量%、水を、好ましくは組成物の15〜94質量%、有機溶媒、例えばN−メチルピロリドン、ジメチルスルフォキシド、3−アミノ−1−プロパノール及びエタノールアミン、又はこれらの混合物を、好ましくは25〜85質量%の量で、及びヒドロキシルアミン又はヒドロキシルアミンの誘導体を、好ましくは組成物の2〜12質量%の量で含む。記載では、オキシジェンプラズマを使用したアッシング(アッシュ処理)を使用しなくても良いとされている。
特許文献8(米国特許出願US2006/0016785A1)には、アッシュ処理された及びアッシュ処理されていないレジストを除去するための、水性、及び非水性のレジストストリッピング組成物が開示されている。この組成物は、組成物の0.5〜15質量%の第4級アンモニウム化合物、例えばTMAH、又はテトラブチルアンモニウムヒドロキシド(TBAH)、有機溶媒、例えばジエチレングリコールモノメチルエーテル、又はジエチレングリコールモノブチルエーテルを含んでいる。
実施例Kは、特別なレジストストリッピング組成物を開示しており、該レジストストリッピング組成物は、65質量%のプロピレングリコールメチルエーテル、39質量%のプロピレングリコールプロピルエーテル、0.4質量%の水、0.6質量%のTBAH、3質量%のp−トルエンスルホン酸、及び1質量%のエタノールアミンから成るものである。実施例Lは、特別なレジストストリッピング組成物を開示しており、該レジストストリッピング組成物は、水を含まず、そして56質量%のプロピレングリコールプロピルエーテル、35.5質量%のプロピレングリコールメチルエーテル、0.5質量%のTBAH、6質量%のp−トルエンスルホン酸、及び2質量%のエラノールアミンを含むものである。実施例Mは、特別なレジストストリッピング組成物を開示しており、該レジストストリッピング組成物は、91.5質量%のプロピレングリコールメチルエーテル、0.2質量%の水、0.2質量%のTBAH、6質量%のp−トルエンスルホン酸、及び2質量%のエタノールアミンから成るものである。実施例C、E、F、J、N、O、A5、P及びSでは、TMAHが、2.5質量%〜5.5質量%の範囲の多い量で使用されている。これらの実施例で使用されている略称について、PGME及びPGPEは両方とも、プロピレングリコールメチルエーテルを意味するべきである。しかしながら、PGPEは、実際には、プロピレングリコールプロピルエーテルを意味する。
特許文献9(米国特許出願US2008/0280452A1)には、アッシュ処理されていないレジストのための、レジストストリッピング組成物が開示されている。このレジストストリッピング組成物は、水の含有量が多く、そして水酸化第4級アンモニウム、例えばTMAH、TBAH又はメチルトリプロピルアンモニウムヒドロキシド(MTPAH)を、好ましくは組成物の1〜20質量%の量で、水溶性有機溶媒、例えばジメチルスルフォキシド、及びN−メチルピロリドン、及び水溶性アミン、例えばエタノールアミン、N−メチルエタノールアミン、及び2−(2−アミノエトキシ)エタノールを、好ましくは組成物の10〜15質量%の量で含んでいる。特に、表2は、例えば、10質量%のTMAH、50質量%のジメチルスルフォキシド、及び40質量%の水(ストリッピング溶液G)、5質量%のTBAH、30質量%のN−メチルピロリドン、30質量%のジメチルスルフォキシド、及び25質量%の水(ストリッピング溶液J)、又は5質量%のMTPAH、30質量%のジメチルスルフォキシド、15質量%のN−メチルピロリドン、20質量%の水、及び30質量%の2−(2−アミノエトキシ)エタノールから成るレジストストリッピング組成物を開示している。しかしながら、レジストを完全に除去するためには、オゾン水及び/又は水性の過酸化水素が必要である。
従来技術のレジストストリッピング組成物は、種々の欠点と短所を有していた。
従って、N−メチルピロリドンを含むレジストストリッピング組成物は、環境、健康、及び安全性(EHS)に素早く影響する。
水分の含有量が高い、及び/又は水酸化第4級アンモニウムの含有量が高い組成物は、現代のICテクノロジーに使用される低誘電率材料、及び特に超低誘電率材料にダメージを与え得る。ヒドロキシルアミン及びヒドロキシルアミン誘導体の錯化力及びキレート力のために、これらの化合物を含む組成物は、銅ビア及びインターコネクトの腐食を引き起こし得る。これら両方の影響は、ICの部分的、又は完全な不具合をもたらし得る。
有機溶媒の含有量が高いレジストストリッピング組成物の、レジスト、エッチング後残留物(PER)及びバリア反射防止層(BARC)について除去速度は、水酸化第4級アンモニウムの濃度に強く依存する。この濃度に対する強い依存性は、組成物の最適化を困難で複雑なものとする。特に、高い除去速度を得るために、高濃度が必要とされる場合には、上述した不利な影響が再度発生する。
公知のレジストストリッピング組成物は、一方では変化していないレジスト(unchanged resist)について、及び他方では、PER及びBARCについて、異なる除去速度を頻繁に示す。最も多い場合、PER及びBARCは、変化していないレジストよりも、除去することがはるかに困難になる。この理由は、PERは、レジストとは異なる化学的特性を有しており、及びBARCは、通常、(溶解又は分散が容易ではない)高度に架橋された材料だからである。
更に、従来技術のレジストストリッピング組成物は、レジストを十分に除去するが、しかし(複合組成(complex composition)、及び特にテフロン(登録商標)状の材料、及びチタニウム及び/又はシリコン含有材料を有する)エッチング残留物については除去速度が不十分である。
重要なことを加えると、多くの工程を使用する従来技術のストリッピング組成物は、除去工程の前に予備処理工程を必要とする。オゾン水、及び/又は水性過酸化水素が頻繁に使用される。EHSとは別に、これらの強い酸化溶液は、低誘電率材料、及び超低誘電率材料、特にカーボンドープしたシリコンオキシド(SiOC)材料に(これに含まれている炭素を酸化することによって)ダメージを与え得る。
3次元(3D)技術、及び基本設計概念(構造物)(architecture)が、IC技術では益々重要になってきている。この理由は、これらは、装置のスケーリング(skaling)が益々試みられている際に、更に可能なシステム機能を約束するからである。
3Dについて、シリコンビアを通してのパターン化(TSV)のために、及びメッキとバンピングのために、フォトレジストが使用される(3D Stacked Intergrated Circuit,3D−SIC;3D Wafer Level Packaing、3D−WLP)。
通常、厚さが数マイクロメーターのポジティブ−トーンフォトレジストが、3D−WLP TSVエッチのために使用される。ドライシリコンエッチ及びウェットフォトレジストストリッピングの組み合わせが通常、使用される。更に、ネガティブトーンフォトレジストも、銅メッキ及びマイクロ−バンピングに適用するために使用可能である。しかしながら、従来技術のレジストストリッピング組成物は、ネガティブトーン及びポジティブトーンフォトレジストの両方を同じ方法で除去できるものでは必ずしもない。
プラズマダメージを受けたフォトレジスト、すなわちエッチング後の残留物、PERは、頻繁に除去困難である。このようなPERを除去するために、追加的な物理的な力の使用がしばしば必要になる。
3D−WLPのアプローチのために、TSVのパターン化、及びマイクロバンピングが、(支持体上に結合された)薄くされたシリコンウエハ上でしばしば行われる。この場合、レジストストリッピング組成物は、グリュー材料(接着材料)と両立性(相性)である必要がある。
この観点で、ポジティブトーン及びネガティブトーンフォトレジスト及びPERを、同一の、最も有利な方法で、(ブランケットウエハー表面、パターン化されたウエハー構造、及びシリコンウエハーを支持体上に結合させているグリュー材料にダメージを与えることなく)除去することができるレジストストリッピング組成物を(近い将来に)得ることが強く望まれている。しかしながら、従来技術のフォトレジストストリッパー(ストリッピング剤)は、これらの挑戦的な要求を全く満たすことができず、又は部分的にしか満たすことができなかった。
US2003/0148624A1 US2004/0106531A1 WO2004/100245A1 US2005/0176259A1 US2007/0298619A1 US2005/0014667A1 US2005/0266683A1 US2006/0016785A1 US2008/0280452A1
従って、本発明の目的は、新しいレジストストリッピング組成物及び新しいレジストストリッピング組成物を使用した電気装置を製造するための新しい方法(これらの組成物と方法は、上述した従来技術の欠点と短所をもはや有しない)を提供することにある。
特に、新しいレジストストリッピング組成物は、この溶媒に起因する環境的、健康的、及び安全性(EHS)の問題を無くすために、N−メチルピロリドンを含むべきではない。
新しい、レジストストリッピング組成物は、高い水分含有量、及び/又は水酸化第4級アンモニウムの高い含有量についての不利な影響を示すことなく、及び現代のIC技術に使用される、低誘電率材料、特に超低誘電率材料にダメージを与えることのないものであるべきである。更に、新しいレジストストリッピング組成物は、ヒドロキシルアミン及びヒドロキシルアミン誘導体を含むべきでなく、これにより銅ビア及びインターコネクトの腐食が最小限にされるか、又は理想的には、完全に回避されるべきである。
有機溶媒の含有量が高い、新しいレジストストリッピング組成物の、レジスト、エッチング後残留物(PER)及びバリア反射防止層(BARC)の除去速度は、水酸化第4級アンモニウムの濃度にもはや依存するべきではない。これにより、新しいレジストストリッピング組成物は、変動する製造パラメーターに対して、最適化と適用が単純で、簡単であり、及び効果的になり、そして高い除去速度を達成するために高濃度がもはや必要とされないものとなる。
新しいレジストストリッピング組成物は、一方では、変わっていないレジスト(unchanged resist)について、そして他方ではPER及びBARCについて、同じ、又は基本的に同じ除去速度を示すべきであり、これにより、PERとBARCの異なる化学特性が、その効果的な除去にもはや障害を構成しないものである。
更に、新しいストリッピング組成物は、レジストの除去に優れているのみならず、(複合組成を有し、及びテフロン(登録商標)状の材料、及びチタニウム及び/又はシリコン含有材料を有する)PERについての除去速度についても優れているべきである。
電気装置、特に半導体集積回路(ICs)、液晶パネル、有機エレクトロルミネセントパネル、及びプリント基板、マイクロマシン、DNAチップ及びマイクロプラント、特にICsを製造するための新しい方法(該方法は、新しいレジストストリッピング組成物を使用するものである)は、除去工程の前に、予備処理工程をもはや必要とするべきではない。特に、オゾン水、及び/又は水性過酸化水素の使用は、完全に不要とされるべきであり、これについての、EHSの問題がもはや存在せず、及びこれらの強い酸化溶液による、低誘電率材料又は超低誘電率材料のダメージが完全に回避されるべきである。全体として、製造の新しい方法は、完全に、又は実質的に欠陥のない、卓越した機能を示し、及び耐用年数が長い電気装置を製造するべきである。
重要なことを加えると、新しいレジストストリッピング組成物は、3D基本設計概念(構造物)の製造のために、特定にシリコンビア(TSV)を通してのパターン化の分野で、及びメッキとバンピングのために、3D技術に最も有利に使用可能であるべきである(3D Stacked Intergrated Circuit,3D−SIC;3D Wafer Level Packaing、3D−WLP)。これらの適用で、これらは、(同一の最も有利な方法で、ブランケットウエハー表面、パターン化されたウエハー構造、及び薄くされたシリコンウエハーを支持体の上に結合するグリュー材料にダメージを与えることなく)ポジティブトーン及びネガティブトーンフォトレジスト及びPERを除去可能であるべきである。
本発明の概要
従って、新規な液体組成物が見出された。該液体組成物は、N−アルキルピロリドン及びヒドロキシルアミン、及びヒドロキシルアミン誘導体を含まず、回転式粘度計で測定して、50℃で、動的せん断粘度が1〜10mPasである液体組成物であって、該液体組成物の全質量に対して、
(A)それぞれの試験液(AB)の全質量に対する百分率で表して、0.06〜4質量%の、溶解したテトラメチルアンモニウムヒドロキシド(B)の存在下に、遠UV吸収発色団を含む、厚さが30nmのポリマー性バリア反射防止層について、50℃で一定の除去速度を示す溶媒から成る群から選ばれる、(液体組成物の全質量に対して、)40〜99.95質量%の少なくとも1種の極性有機溶媒、
(B)(液体組成物の全質量に対して、)0.05〜<0.5質量%の、少なくとも1種の水酸化第4級アンモニウム、及び
(C)液体組成物の全質量に対して、<5質量%の水、
を含むものである。
以降、N−アルキルピロリドン及びヒドロキシルアミン、及びヒドロキシルアミン誘導体を含まず、回転式粘度計で測定して、50℃で、動的せん断粘度が1〜10mPasである、新規な液体組成物を、場合によっては「本発明の組成物、又は本発明の複数種類の組成物」と表す。
更に、N−アルキルピロリドン及びヒドロキシルアミン、及びヒドロキシルアミン誘導体を含まない液体組成物を製造するための新規な方法が見出された。該方法は、以下の工程、
(I)それぞれの試験液(AB)の全質量に対する百分率で表して、0.06〜4質量%の、溶解したテトラメチルアンモニウムヒドロキシド(B)の存在下に、遠UV吸収発色団を含む、厚さが30nmのポリマー性バリア反射防止層について、50℃で一定の除去速度を示す、少なくとも1種の極性有機溶媒(A)を選択する工程、
(II)得られた混合物の全質量に対して、
(A)40〜99.5質量%の少なくとも1種の、選択された極性溶媒、
(B)0.05〜<0.5質量%の、少なくとも1種の水酸化第4級アンモニウム、
(C)<5質量%の水、
を、N−アルキルピロリドン及びヒドロキシルアミン及びヒドロキシルアミン誘導体の不存在下に混合する工程、
(III)回転式粘度計で測定して、50℃で、工程(II)で得られた混合物の動的せん断粘度を1〜10mPasに調整する工程、
を含むものである。
以降、N−アルキルピロリドン及びヒドロキシルアミン、及びヒドロキシルアミン誘導体を含まない液体組成物を製造するための新規な方法を、「本発明の製造方法」と称する。
更に、電気装置を製造するための新規な方法が見出された。該電気装置を製造するための新規な方法は、以下の工程、
(1)少なくとも1種の低誘電率材料又は超低誘電率材料から成る絶縁性誘電体層を、基材の頂部に施す工程、
(2)ポジティブ又はネガティブなレジスト層を、絶縁性誘電体層(1)の頂部に施す工程、
(3)レジスト層を電磁放射又は粒子線に、選択的に曝す工程、
(4)レジスト層(3)を現像し、レジストパターンを形成する工程、
(5)レジストパターン(4)をマスクとして使用して絶縁性誘電体層(1)をドライエッチングし、基材表面と通じるワイヤートレンチ及び/又はビアホールを形成する工程、
(6)それぞれの試験液(AB)の全質量に対する百分率で表して、0.06〜4質量%の、溶解したテトラメチルアンモニウムヒドロキシド(B)の存在下に、遠UV吸収発色団を含む、厚さが30nmのポリマー性バリア反射防止層について、50℃で一定の除去速度を示す、少なくとも1種の極性有機溶媒(A)を選択する工程、
(7)N−アルキルピロリドン及びヒドロキシルアミン、及びヒドロキシルアミン誘導体を含まず、及び回転式粘度計で測定して、50℃で、動的せん断粘度が1〜10mPasであり、及び組成物の全質量に対して、
(A)工程(6)から選ばれる、40〜99.5質量%の少なくとも1種の極性有機溶媒、
(B)組成物の全質量に対して、0.05〜<0.5質量%の、少なくとも1種の水酸化第4級アンモニウム、及び
(C)組成物の全質量に対して、<5質量%の水、
を含む少なくとも1種のレジストストリッピング組成物を用意する工程、
(8)オールウェット法によって、工程(7)に従って製造された、少なくとも1種のレジストストリッピング組成物を使用して、レジストパターン及びエッチング後の残留物を除去する工程、及び、
(9)ワイヤートレンチ及びビアホールを、電気抵抗が低い少なくとも1種の材料で充填する工程、
を含むものである。
以降、この電気装置を製造するための新規な方法を、「本発明の製造方法」と称する。
更に、パターン化Through Silicon Viasによる、及び/又はメッキ及びバンピングによる3D Stacked Integrated Circuits及び3D Wafer Level Packingsの製造で、所定の液体組成物を、ネガティブ−トーン、及びポジティブ−トーンフォトレジスト、及びエッチング後の残留物を除去するために使用する新規な方法が見出された。ここで上記所定の液体組成物は、N−アルキルピロリドン及びヒドロキシルアミン、及びヒドロキシルアミン誘導体を含まず、及び回転式粘度計で測定して、50℃で、動的せん断粘度が1〜10mPasであり、及び組成物の全質量に対して、
(A)それぞれの試験液(AB)の全質量に対する百分率で表して、0.06〜4質量%の、溶解したテトラメチルアンモニウムヒドロキシド(B)の存在下に、遠UV吸収発色団を含む、厚さが30nmのポリマー性バリア反射防止層について、50℃で一定の除去速度を示す溶媒から成る群から選ばれる、組成物の全質量に対して40〜99.95質量%の少なくとも1種の極性有機溶媒、
(B)組成物の全質量に対して0.05〜<0.5質量%の、少なくとも1種の水酸化第4級アンモニウム、及び
(C)組成物の全質量に対して、<5質量%の水、
を含むものである。
以降、この液体組成物の新規な使用方法を、「本発明の使用方法」と称する。
本発明の長所(有利性)
本発明の目的が、本発明の組成物、本発明の製造(調製)、本発明の製造方法によって達成可能であることは、上述した従来技術の観点から、この技術分野の当業者にとって驚くべきことであり、そして予期することができなかった。
特に、本発明の組成物は、N−アルキルピロリドン、特に、N−メチルピロリドンをもはや含まず、これにより、(これについての)環境的な、健康的な、及び安全面(EHS)での問題が、もはや存在しないものである。
本発明の組成物は、高い水分含有量、及び/又は水酸化第4級アンモニウムの高い含有量についての不利な影響をもはや示すことがなく、及びIC技術に使用される、低誘電率材料、特に超低誘電率材料に、もはやダメージを与えることがない。更に、本発明の組成物は、ヒドロキシルアミン及びヒドロキシルアミン誘導体をもはや含まず、これにより、銅ビア及びインターコネクトの腐食の危険性が相当に最小限化され、又は多くの場合、完全に回避される。
本発明の組成物の0.06〜4質量%の濃度範囲では、(本発明の組成物の)レジスト、エッチング後の残留物(PER)、及びバリア反射防止層(BARC)の除去速度は、もはや水酸化第4級アンモニウムの濃度に依存しない。このようにして、本発明の組成物の変化する製造パラメーターに対する最適化と適応が、単純で、簡単で、及び効果的になり、そして高い除去速度を達成するために水酸化第4級アンモニウムを高い濃度とすることがもはや必要とされないものである。
本発明の組成物は、一方では、変わっていないレジスト(unchanged resist)について、そして他方ではPER及びBARCについて、同じ、又は基本的に同じ除去速度を示し、これにより、PERとBARCの異なる化学特性が、その効果的な除去に、もはや障害を構成しないものである。
更に、本発明の組成物は、レジストを除去するのに優れているのみならず、複合組成(complex composition)を有し、及びテフロン(登録商標)状の材料、及びチタニウム及び/又はシリコン含有材料を含むPERに関して、卓越した除去速度を示す。
全体的に見ると、本発明の組成物は、環境的、健康的、及び安全的(ESH)な問題を引き起こすことなく、製造、貯蔵し、取り扱い、及び使用することができる。
本発明の製造方法は、単純で、経済的で、安全で、及び再現性に優れており、及びESH−問題を引き起こすことがなく、及び特定の及び特別な設備、及び安全手段を必要とすることがない。本発明の製造方法は、適用と特性に優れた液体組成物、特に本発明の組成物を生産する。
電気装置、特に半導体集積回路(ICs)、液晶パネル、有機エレクトロルミネセントパネル、プリント基板、マイクロマシン、DNAチップ及びマイクロプラント、特にICsを製造するための本発明の方法は、除去工程の前の予備処理工程をもはや必要としない。特に、オゾン水、及び/又は水性過酸化水素の使用を完全に除外することができ、EHSに関するこれについての問題が、もはや存在せず、及びこれら強酸化溶液による低誘電率材料及び超低誘電率材料のダメージ(損傷)を完全に回避することができる。全体として、本発明の製造方法は、完全に、又は基本的に欠陥がなく、優れた機能を示し、及び耐用年数が長い電気装置を生産する。
更に、本発明の組成物は、本発明を、3D基本設計概念(構造物)の製造のための3D技術に、特にシリコンビアを通してのパターン化(TSV)の分野に、及びメッキとバンピングのために使用することが、特に最も適切である(3D Stacked Intergrated Circuit,3D−SIC;3D Wafer Level Packaing、3D−WLP)。これらの適用では、これらは、ポジティブトーン及びネガティブトーンフォトレジスト及びPERを、非常に速く、(最も有利な同じ方法で、ブランケットウエハー表面、パターン化されたウエハー表面、及び薄いシリコンウエハーを支持体上に結合するグリュー材料にダメージを与えることなく)除去することができる。
発明の詳細な記載
その最も広い局面では、本発明は、N−アルキルピロリドン、特にN−メチルピロリドン、及びN−エチルピロリドン、及びヒドロキシルアミン、及びヒドロキシルアミン誘導体、特に米国特許出願US2005/0266683A1、第4頁パラグラフ[0046]〜[0050]、及びUS2005/0263743A1、第4頁、パラグラフ[0057]〜第5頁、パラグラフ[0063]に開示されたヒドロキシルアミン誘導体を含まない液体組成物に関する。
本発明について、「液体」という特徴的構成は、本発明の組成が、少なくとも室温(すなわち23℃)、好ましくは少なくとも0℃、及び最も好ましくは少なくとも−10℃で液体であることを意味する。
更に、本発明について、特徴的構成「含まない(free from)」は、(N−アルキルピロリドンヒドロキシルアミン及びヒドロキシルアミン誘導体を定性的、及び/又は定量的に検知するための、公知の最新技術の分析方法、例えばガスクロマトグラフィー及び/又は質量分析によっては、)関係する化合物が、本発明の組成物中に検知することができないことを意味する。
本発明の組成物は、回転式粘度計で測定して、50℃で、動的せん断粘度が1〜10mPas、好ましくは2〜8mPas、より好ましくは1.5〜7mPas、及び最も好ましくは2〜6mPasである。また好ましくは、本発明の組成物は、回転式粘度計で測定して、23℃で、動的せん断粘度が2〜20mPas、より好ましくは3〜16mPas、及び最も好ましくは3〜14mPasでもある。
本発明の組成物は、分散物、すなわち乳濁液、又は懸濁液、又は全ての成分が分子的に分散した均一な組成物であることができる。好ましくは、本発明の組成物は、均一な、分子的に分散した組成物である。
本発明の組成物は、組成物の全質量に対して、40質量%、好ましくは45質量、及び最も好ましくは50質量%から、99.5質量%、又はより好ましくは99.94質量%の少なくとも1種の溶媒(A)を含む。極性有機溶媒(A)は、非プロトン性又はプロトン性の極性であることができる。
極性有機溶媒(A)は、それぞれの試験液(AB)の全質量に対して、0.06〜4質量%の、溶解したテトラメチルアンモニウムヒドロキシド(B)の存在下に、遠UV吸収発色団(deep UV absorbing chromophoric group)を含む、厚さが30nmのポリマー性バリア反射防止層について、50℃で一定の除去速度を示す溶媒から成る群から選ばれる。
「一定の(constant)」という特徴的構成は、与えられた範囲で、除去速度が、テトラメチルアンモニウムヒドロキシド(B)の濃度から完全に、又は実質的に独立していることを意味する。
除去速度を測定する目的で、ポリマー性バリア反射防止層が半導体ウエハー表面に施されることが好ましい。この後、半導体ウエハー表面の頂部上のバリア反射防止層が、((B)の異なる濃度を有する)極性有機溶媒(A)中のテトラメチルアンモニウムヒドロキシド(B)の試験溶液(AB)に曝される。
好ましくは、テトラメチルアンモニウムヒドロキシド(B)は、水溶液の全質量に対して、25質量%のテトラメチルアンモニウムヒドロキシド(B)を含む水溶液として加えられる。従って、試験溶液(AB)は、試験溶液の全質量に対して、16質量%以下の水(C)を含むことができる。
好ましくは、試験溶液(AB)は、試験の間、一定の回転速度で攪拌され、より好ましくは50〜200rpm、更により好ましくは75〜125rpm、及び最も好ましくは100rpmで攪拌される。
全ての試験で、半導体ウエハー表面の頂部上のバリア反射防止層は、試験溶液(AB)に同じ時間、曝される。暴露時間は、180秒が好ましい。
暴露の後、バリア反射防止層を載せた半導体ウエハー部材は、試験溶液(AB)から取り出され、極性有機溶媒、好ましくはイソプロパノールでリンス(濯ぎ洗い)され、そしてこの後、脱イオン水でリンスされ、及び乾燥した非反応性ガス、好ましくは窒素で乾燥される。最も好ましくは、リンスと乾燥工程は、中位の温度、好ましくは23〜50℃の温度で行われる。
乾燥工程の後、バリア反射防止層がなお残っているか否かが、公知で通常の分光法で調査される。好ましくは、transmission FTIR(Fourier Transformation IR−Spectroscopy)がこの目的のために使用される。
バリア反射防止層がなお残っているような場合、その厚さは、薄い層の厚さを測定するための、公知で通常の方法によって測定される。好ましくは、transmission FTIR、及び/又は干渉分光法がこの目的のために使用される。
最も好ましくは、バリア反射防止層は、試験溶液(AB)に曝される間、完全に除去される。
上述した選択的な試験のために、公知の如何なるポリマー性反射防止被覆組成物を使用することもでき、例えば米国特許US5919599、3蘭、第40行〜16蘭、第36行、及び17蘭、第25行〜18蘭、第25行に、図1と関連して記載されたものを、遠UV吸収発色団を含む、ポリマー性バリア反射防止層を製造するために使用することができる。
この技術分野では公知のように、そのポリマー性、及び架橋された特性のために、バリア反射防止層は、パターン化されたレジストよりも除去することが困難である。選択的な試験は、以下のことを保証するものである。すなわち、本発明の組成物がパターン化されたレジスト、及びエッチング後の残留物を、バリア反射防止層と一緒に完全に(再度堆積することなく、又は基本的に再度堆積することなく)最も好ましくは180秒以内に除去することができるように、有機極性溶媒(A)が選択されることを保証するものである。
好ましくは、極性有機溶媒(A)は、大気圧で、沸点が100℃を超え、より好ましくは120℃を超え、及び最も好ましくは150℃を超える。
より好ましくは、極性有機溶媒(A)は、閉じたカップ内で測定して、引火点が50℃を超え、より好ましくは55℃を超え、及び最も好ましくは60℃を超える。
最も好ましくは、極性有機溶媒(A)は、少なくとも2つの第1級アミノ基(primary amino group)を有する脂肪族ポリアミン、少なくとも3個の炭素原子の少なくとも1つの炭素鎖を、第1級アミノ基とヒドロキシル基の間に有する脂肪族アルカノールアミン、脂肪族スルフォキシド、及びN−置換されたイミダゾールから成る群から選ばれる。特に、溶媒(A)は、ジエチレントリアミン(沸点207℃、引火点102℃)、N−メチルイミダゾール(沸点198℃、引火点92℃)、3−アミノ−1−プロパノール(沸点187℃、引火点101℃)、5−アミノ−1−ペンタノール(沸点222℃、引火点65℃)、及びジメチルスルホキシド(沸点189℃、引火点87℃)から成る群から選ばれる。
本発明の組成物は、組成物の全質量に対して、0.05〜<0.5質量%、好ましくは0.06〜<0.5質量%の、少なくとも1種の水酸化第4級アンモニウムを含む。
好ましくは、水酸化第4級アンモニウム(B)は、テトラメチルアンモニウム、テトラエチルアンモニウム、テトラプロピルアンモニウム、テトラブチルアンモニウム、ベンジルトリメチルアンモニウム、及び(2−ヒドロキシエチル)アンモニウムヒドロキシド、特にテトラメチルアンモニウムヒドロキシドから成る群から選ばれる。
追加的に、本発明の組成物は、本発明の組成物の全質量に対して、<5質量%、好ましくは<4質量%、更により好ましくは<3質量%、及び最も好ましくは<2質量%の水を含む。水の含有量は、水の定量的、及び定性的な分析の、公知の、及び通常の方法では検知できない程、低いことも可能である。
本発明の組成物は、溶媒(A)とは異なる極性有機溶媒(D)、防蝕剤(E)、キレート剤(F)、フッ化塩(G)、及び界面活性剤(H)から成る群から選ばれる少なくとも1種の追加的な成分を含むこともできる。
好ましくは、極性有機溶媒(D)は、試験液(DB)の全質量に対する質量百分率で表して、0.06〜4質量%の、溶解したテトラメチルアンモニウムヒドロキシド(B)の存在下に、遠UV吸収発色団を含む、厚さが30nmのポリマー性バリア反射防止層について、50℃での除去速度が、テトラメチルアンモニウムヒドロキシド(B)の濃度が増すに従い上昇する溶媒の群から選ばれる。
ここで、テトラメチルアンモニウムヒドロキシド(B)は、水溶液の全質量に対して、25質量%のテトラメチルアンモニウムヒドロキシド(B)を含む水溶液として加えられることが好ましい。従って、試験溶液(DB)は、試験溶液の全質量に対して、16質量%以下の水(C)を含むことができる。
試験溶液(DB)の除去速度は、試験溶液(AB)について記載したものと同じようにして決定(測定)することができる。
好ましくは、試験溶液(DB)の除去速度は、溶液(DB)の全質量に対して1質量%のテトラメチルアンモニウムヒドロキシド(B)で、上記設定した条件で、0nm〜100nmである。
好ましくは、極性有機溶媒(D)は、大気圧での沸点が100℃を超え、より好ましくは120℃を超え、及び最も好ましくは150℃を超える。
より好ましくは、極性有機溶媒(D)は、閉じたカップ内で測定して、引火点が50℃を超え、より好ましくは55℃を超え、及び最も好ましくは60℃を超える。
最も好ましくは、極性有機溶媒(D)は、アルカノールアミン、アルキレングリコールモノアルキルエーテル、N−置換されたピペリジン、N−置換された環状尿素、及びN−置換されたイミダゾール、特に、エタノールアミン(沸点172℃、引火点85℃)、N−メチルエタノールアミン(沸点160℃、引火点72℃)、N−エチルエタノールアミン(沸点168℃、引火点78℃)、イソプロパノールアミン(沸点159℃、引火点71℃)、2−(2−アミノエチルアミノ)エタノール(沸点243℃、引火点144℃)、2−(2−アミノエトキシ)エタノール(沸点223〜242℃、引火点127℃)、ジエチレングリコールモノエチルエーテル(沸点193℃、引火点93℃)、ジエチレングリコールモノブチルエーテル(沸点230℃、引火点107℃)、N−(2−ヒドロキシエチル)ピペリジン(沸点198〜203℃、引火点83℃)、1,3−ジメチル−3,4,5,6−テトラヒドロ−(1H)−ピリミジノン(沸点246℃、引火点121℃)、N−(3−アミノプロピル)イミダゾール(沸点296℃、引火点154℃)、及びジシクロヘキシルアミン(沸点256℃、引火点105℃)から成る群から選ばれる。
本発明の組成物中の極性溶媒(D)の濃度は、広く変化することができる。しかしながら、この濃度は、有機極性溶媒(A)が、なお、本発明の組成物の特性を主として決定する程度に高いものであるべきである。好ましくは、極性有機溶媒(A)の、極性有機溶媒(B)に対する質量割合は、2:1〜1:2、より好ましくは1.5:1〜1:1.5、及び最も好ましくは1.2:1〜1:1.2の範囲である。
原則として、金属のために、如何なる公知の防蝕剤(E)も使用可能である。好ましくは防蝕剤は、例えば以下の文献に記載されている銅防蝕剤(E)から成る群から選ばれる:
−国際特許出願WO2004/100245A1、第9頁、パラグラフ[0030]〜第10頁、パラグラフ[0031]、
−米国特許出願US2005/0176259A1、第4頁、パラグラフ[0049]〜第5頁、パラグラフ[0059]、
−米国特許出願US2005/0263743A1、第5頁、パラグラフ[0067]〜第6頁、パラグラフ[0073]、及び
−米国特許出願US2008/0280452A1、第3頁、パラグラフ[0045]〜第4頁、パラグラフ[0053]。
銅防蝕剤(E)は、広い範囲の量で変化させて使用しても良い。好ましくは、これらは上述した従来技術に開示された、通常の、そして効果的な量で使用される。
原則として、公知の如何なるキレート剤(F)も、本発明の組成物に使用可能である。好ましくは、キレート剤(F)は、銅キレート剤(F)、特に例えば、以下の米国特許出願に記載されている銅キレート剤(F)の群から選ばれる:
−US2004/0106531A1、第6頁、パラグラフ[0074]、及び
−US2005/0263743A1、第5頁、パラグラフ[0070]、第6頁、パラグラフ[0073](パラグラフ[0078]と一緒に)。
このような銅キレート剤(F)は、銅防蝕剤(E)としても頻繁に使用される。
銅キレート剤(F)は、広い範囲で変化させた量で使用しても良い。好ましくは、これらは、上述した従来技術に開示された、通常の、及び効果的な量で使用される。
原則として、如何なる公知のフッ化塩(G)も、本発明の組成物に使用して良い。好ましくは、フッ化塩(G)は、(米国特許出願US2004/0106531A1、第3頁、パラグラフ[0035]〜[0041]に記載されてるような、)フッ化水素酸と金属を含まない塩基の塩から成る群から選ばれる。フッ化塩(G)は、広く変化させた量で使用して良い。好ましくは、これらは、引用した文献の、特にパラグラフ[0041]に記載された、通常の、及び効果的な量で使用される。
原則として、任意の界面活性剤(H)を、本発明の組成物に使用しても良い。好ましくは、界面活性剤は、米国特許出願US2008/0280452A1、第4頁、パラグラフ[0054]〜第5頁パラグラフ[0061]に記載されている界面活性剤の群から選ばれる。界面活性剤(H)は、広く変化する量で使用しても良い。好ましくは、これらは、引用した文献の、特にパラグラフ[0061]に開示された通常の及び有効な量で使用される。
本発明の組成物は、種々の方法(態様)で製造することができる。好ましくは、これらは、本発明の製造方法に従って製造される。本発明の製造方法が、本発明の組成物以外の組成物を製造(調製)するためにも使用可能であることが、本発明にとって有利な点である。
本発明の製造方法の第1の工程では、少なくとも1種の極性有機溶媒(A)が、上述したように選ばれる。
本発明の製造方法の第2の工程では、
(A)40、好ましくは45、及び最も好ましくは50質量%〜〜99.95質量%、又はより好ましくは99.94質量%の、少なくとも1種の、選択された極性有機溶媒、
(B)0.05質量%、又はより好ましくは0.6質量%〜<0.5質量%の、上述した少なくとも1種の水酸化第4級アンモニウム、及び
(C)<5質量%、好ましくは<4質量%、より好ましくは<3質量%、及び最も好ましくは<2質量%の水、
(質量%は、組成物、及び特に本発明の組成物の全質量に基く)を含むものが用意される。
上述した、溶媒(A)とは異なる極性有機溶媒(D)、防蝕剤(E)、キレート剤(F)、フッ化塩(G)、及び界面活性剤(H)から成る群から選ばれる少なくとも1種の追加的な成分を、好ましくは、引用した文献に開示された好ましい量で、第1の工程、又は別の工程に加えることができる。
本発明の製造方法では、本質的に、N−アルキルピロリドン、ヒドロキシルアミン、及びヒドロキシルアミン誘導体の不存在下に、上述した成分が一緒に混合される。
本発明の製造方法の第3の工程では、第2の工程で得られた混合物の、50℃でのせん断粘度が、1〜10mPas、好ましくは2〜8mPas、より好ましくは1.5〜7mPas、及び最も好ましくは2〜6mPasに調整される。
この工程は、別の工程として行うことができ、又は本発明の製造方法の他の工程に統合することができる。後者は、得られる混合物が要求された動的粘度を示すように、第2の工程の成分(要素)を慎重に選択することにより行われる。
最も好ましくは、本発明の組成物は、回転式粘度計で測定して、23℃で、動的せん断粘度が2〜20mPas、より好ましくは3〜16mPas、及び最も好ましくは3〜14mPasを示す。
通常の、及び標準の混合処理、及び混合装置、例えば攪拌容器、インライン溶解機、高せん断インペラー、超音波ミキサー、均一化ノズル、又は向流ミキサーを、組成物(特に本発明の組成物)の成分を混合するために使用することができる。
本発明の組成物、本発明の製造方法に従って製造される組成物、及び最も好ましくは、本発明の製造方法に従って製造される本発明の組成物は、種々の目的のために使用することができる。特に、これらは、本発明の製造方法に使用することができる。
本発明の製造方法は、最も有利なことには、電気装置、特に半導体集積回路(ICs)、液晶パネル、有機エレクトロルミネセントパネル、プリント基板、マイクロマシン、DNAチップ及びマイクロプラント、特にLSI又はVLSIを有するICsを生産する。
本発明の製造方法は、(第1の工程で、)少なくとも1種の低誘電率材料又は超低誘電率材料から成る絶縁性誘電体層を、基材の頂部に施す工程を含む。
絶縁性誘電体層を製造する、適切な低誘電率材料又は超低誘電率材料及び適切な方法は、例えば、米国特許出願US2005/0176259A1、第2頁、パラグラフ[0025]〜[0027]、US2005/0014667A1、第1頁、パラグラフ[0003]、US2005/0266683A1、第1頁、パラグラフ[0003]、及び第2頁、パラグラフ[0024]、又はUS2008/0280452A1、パラグラフ[0024]〜[0026]、又は米国特許US7250391B2、カラム1、第49〜54行に記載されている。
適切な基材は、特に、ICs、例えばシリコンウエハーを製造するために通常使用される、半導体基材である。
第2の工程では、ポジティブ、又はネガティブなレジスト層が絶縁誘電体層の頂部に施される。
ポジティブ、及びネガティブなレジスト層を製造するための適切な材料及び方法は、例えば、米国特許US7250391B2、カラム1、第55〜60行、又は米国特許出願US2005/0176259A1、第2頁、パラグラフ[0029]及び[0030]、US2006/0016785A1、第3頁、パラグラフ[0025]〜[0027]、又はUS2008/0280452A1、パラグラフ[0027]〜[0029]、及び第5頁、パラグラフ[0062]に記載されている。
第3の工程では、レジスト層が、電磁放射又は粒子線に選択的に曝される。
好ましくは、UV−線、遠UV−線、エキシマーレーザー線、特に、KrF−、ArF−、又はF−エキシマーレーザー線、又はX−線が、電磁放射(electromagnetic radiation)として使用される。暴露のために、レジスト層を、このような活性線を放射可能な光源、例えば低圧水銀ランプ、高圧水銀ランプ、超高圧水銀ランプ、又はキセノンランプに、所望のマスクパターンを通して曝しても良い。
レジスト層は、粒子線、好ましくは電子線に直接的に曝すこともできる。
次に、所望により、レジストパターンを更に焼くこともできる(暴露後ベーキング)。
第4の工程では、選択的に曝されたレジスト層が現像剤(好ましくは、例えば、米国特許出願US2008/0280452A1、第5頁、パラグラフ[0062]に記載されたような、アルカリ性水溶液)で現像され、レジストパターンが形成される。
第5の工程では、レジストパターンをマスクとして使用して絶縁性誘電体層がドライエッチングされ、下側の層の表面(例えば、基材の表面、下側のレベルの、電気抵抗が低い材料、特に銅又は銅合金から成る配線の表面、又は下側のレベルの表面とドライエッチされる絶縁性誘電体層の間に配置される、エッチ−ストップ層、例えばシリコンオキシドニトリド層の表面)と通じるワイヤートレンチ及び/又はビアホールが形成される。好ましくは、(特にフルオロカーボンガスに基づく)フッ素含有プラズマが、ドライ−エッチング媒介物として使用される。
ドライ−エッチング工程では、エッチング後の残留物が発生する。この残留物は、電気装置の製造のBEOL(back−end of the line)工程の過程で、除去する必要がある。これらのエッチング後の残留物は、テフロン(登録商標)状の材料、及びチタニウム及び/又はシリコン含有材料を含む、種々の組成を有することができるものである。
第6の工程では、上述したように、少なくとも1種の極性有機溶媒(A)が選ばれる。
第7の工程では、選ばれた少なくとも1種の極性溶媒(A)が、上述したレジストストリッピング組成物として、少なくとも1種の本発明の組成物を製造するために使用される。
第8の工程では、第7の工程に従い製造された、少なくとも1種のレジストストリッピング組成物が、レジストパターン及びエッチング後の残留物をオールウェット法で除去するために使用される。
レジストストリッピング工程8の効率は、レジストストリッピング溶液に超音波を与えることにより高めることができる。
好ましくは、8番目の工程は、0〜70℃の温度、より好ましくは10〜65℃の温度、及び最も好ましくは50〜60℃の温度で行われる。
本発明の製造方法の長所の一つは、本発明のレジストストリッピング組成物を使用するために、アッシュ処理工程(ashing step)、特に、酸素含有プラズマを使用したアッシング処理工程、又は予備洗浄工程、特にオゾン水、又は過酸化水素を使用した予備洗浄工程を使用しなくても良いことである。更に、硬化したレジスト粒子、及び/又はエッチング後残留物の再堆積は観察されないか、又はごく僅かしか観察されない。
レジストパターン及びエッチング後の残留物のストリッピングの後、ワイヤートレンチ及び/又はビアホールの得られた構造物を、残っているレジストストリッピング組成物を除去するために、特に、脱イオン水でリンス(濯ぎ洗い)することができる。この後、乾燥した非反応性ガス、特に窒素を使用して、得られた構造物を乾燥させることができる。
第9の工程では、ワイヤートレンチ、及びビアホールは、電気抵抗が低い、少なくとも1種の材料で充填される。好ましくは、銅、及び銅合金、最も好ましくは銅が、この目的のために使用される。好ましくは、(例えば米国特許出願US2006/0213780A1に記載された)公知の銅電気メッキ溶液及び電気メッキ法を使用することができる。
本発明の製造方法では、例えば米国特許US6074946、又はUS6218078B1、又は米国特許出願US2008/0286977A1、US2008/10305441A1、US008/0305625A1、又はUS2009/0035944A1に記載された硬質マスク層を使用することができる。上述した硬質マスク層は、第4の工程から得られたレジストパターンを(マスクとして)使用して、第5の工程で、選択的にエッチングされる。
この替わりに、例えば米国特許US5919599に記載されたバリア反射防止層を、レジスト層と絶縁性誘電体層の間に配置(挿入)することができる。追加的に、バリア反射防止層も、硬質マスク層とレジスト層の間に配置することができる。両方の場合において、第4の工程からのレジストパターンをマスクとして使用して、第5の工程で、バリア反射防止層が選択的にエッチングされる。
本発明の製造工程を行った後、得られた表面は、化学的、機械的な研磨(CMP)を使用した、ICs等の電気装置を製造する技術分野では公知の方法、及び装置によって研磨することができる。この後、低誘電率材料の他の層、任意に他の硬質マスク層、任意に他のバリア反射防止層、及び(義務的な)他のレジスト層を施すことができ、この後、本発明の製造方法が繰り返される。
本発明の製造方法に従い製造された電気装置は、卓越した機能と非常に長い耐用年数を有する。
本発明の組成物の最も驚くべき長所の一つは、使用される有機極性溶媒(A)及び任意の有機極性溶媒(D)の高い沸点のために、これらは全て、中位の温度、特に室温〜100℃以下の温度範囲で、低い蒸気圧を示すことである。更に、使用される有機極性溶媒(A)及び任意の有機極性溶媒(D)の引火点が高いために、本発明の全ての組成物は、容易に燃焼することがなく、及び容易に引火することがない。重要なことを加えると、有機極性溶媒(A)及び任意の極性溶媒(D)は、ESHという観点からは、重要なものではない(決定的なものではない)。従って、このことは、これらが含まれる本発明の組成物に、同様に適用される。その結果、本発明の組成物は、ESHの問題を引き起こすことなく、製造し、保管し、取り扱い、使用し、及び処理することができる。
同様に、本発明の組成物の驚くべき長所は、本発明の使用方法に特に適切なことである。
本発明の使用方法に従えば、本発明の組成物は、ポジティブトーン、及びネガティブトーンレジスト、及びPERを、ブランケットウエハーから、及び3D−SIC及び3D−WLPとも称される3DIC基本設計概念(構造物)の製造のために通常使用されるパターン化されたウエハーから除去するために使用される。これらの3DIC基本設計概念(構造物)では、インターコネクトがTSV、メッキ、及び/又はバンピング、特にマイクロ−バンピングを使用して製造される(注:imec,Scientific Report2008,Advanced Packaging and Interconnect,3D Interconnect and Packaging,3D StackedIC(3D−SIC),3D−WLP:Micro−Bumping)。
本発明の使用方法で、本発明の組成物は、ブランケット及びパターン化されたウエハーから除去されるべきフォトレジスト及びPERに(公知及び通常の方法と装置を使用して)施される。フォトレジストを除去した後、ウエハーがリンスされ、そして乾燥される。除去工程がうまくいったこと、すなわちフォトレジスト及びPERが完全に無いことは、光学的、走査電気化学顕微鏡(X−SEM)、原子間力顕微鏡(AFM)、及びフーリエ変換赤外(FTIR)分光分析によって確かめることができる。
本発明の組成物と、薄くされたウエハーを支持体上に結合させているグリュー材料との相性、すなわち、損傷を受けていないグリュー材料の存在は、同じ方法で確かめることができる。
最も驚くべきことに、本発明の組成物は、ポジティブトーン及びネガティブトーンフォトレジスト及びPERを、ブランケット及びパターン化されたウエハーから、迅速に、及び完全に、(パターン化されたウエハーの微細構造と存在するグリュー材料にダメージを与えることなく)除去することができる。
実施例及び比較例
実施例1
極性有機溶媒(A)の選択
表1に示した極性有機溶媒を、その洗浄機能、及びその高い沸点、高い引火点、及び環境性、健康性、及び安全性(EHS)の評価(すなわち、溶媒は、可能な限りEHS問題を引き起こすべきでない)に従い、酸塩化物、クロロフォルメート、アルコール、ジオール、ポリオール、アルデヒド、アセタール、ケトン、アミン、アミノアルコール、カルボン酸、及び誘導体、複素環式化合物、イオン液体、ニトリル、ウレア誘導体、ビニル化合物、ビニルエーテル、及び脂肪族アミドから成る群からの極性有機溶媒(S)から、予め選択した。
Figure 0005836932
溶媒(A)の最終的な選択のために、シリコン半導体ウエハーの小部分を、遠UV吸収発色団を含む、厚さが30nmのポリマー性バリア反射防止層で被覆した。ポリマー性バリア反射防止層を架橋した。
次に、表1に示した各溶媒中のテトラメチルアンモニウムヒドロキシド(TMAH)(B)の試験溶液を製造した。試験溶液(SB)の各シリーズは、7種の溶液から成り、これらは、25質量%のTMAH水溶液の適切な量を加えることによって、0.06、0.1、0.2、0.5、1.0、2.0及び4.0質量%(質量%は、各試験溶液(SB)の全質量に基づく)TMAH濃度を有していた。
各シリーズの各試験溶液(SB)の除去速度を以下のように測定した:
シリコン半導体ウエハーの被覆したピースを、50℃でビーカー内で、180秒間、100rpmで攪拌した試験溶液(SB)に曝した。この後、シリコン半導体の被覆したピースを、試験溶液(SB)から取り出し、イソプロパノール、そして次に脱イオン水でリンスし、そして乾燥した窒素を使用して50℃で乾燥した。室温にまで冷却した後、transmission FTIR及び干渉分光法を使用して、架橋されたポリマー性バリア反射防止層がなお残っているか否か、残っている場合には、その厚さがいくらかを調査した。
表2に得られた結果の概要を示す。
Figure 0005836932
表2に示した試験結果は、溶媒S1、S2、S3、S4及びS5の除去速度だけが、TMAH濃度から独立しており、そして架橋したポリマー性バリア反射防止層の完全な除去が、それぞれの試験溶液の全質量に対して、0.06質量%の低い濃度で達成することができたことを示している。この結果、S1、S2、S3、S4、及びS5だけが、本発明に従い使用される極性有機溶媒(A)として適格であった。試験した他の溶媒(S)は、任意の極性有機溶媒(D)として適格なだけであった。
実施例2
試験溶液(SB)のTMAH濃度の、エッチング速度に対する影響
追加的に、表1の極性有機溶媒、及び1質量%、2質量%、及び4質量%(質量%は、各試験溶液の全質量に基づく)のTMAHを含む試験溶液(SB)の相性(適合性)を以下のように試験した。
シリコン半導体ウエハーのピースを、カーボンドープしたシリコンオキシドから成り、厚さが400nmの超低誘電率材料(Applied Materials,Incによって製造されたBlack DiamondTM)で被覆した。
試験溶液(SB)の超低誘電率層への影響を評価するために、超低誘電率層を基準点として、150℃で120分間、アニール(焼き鈍し)した。焼き鈍しによる厚さ及び屈折率の変化は、たとえあったとしても、極めて微量であった。
次に、超低誘電率層で被覆されたシリコン半導体のピースを、ビーカー中の、攪拌(100rpm)した試験溶液(SB)に50℃で180秒間、曝した。この後、ピースを試験溶液(SB)から取り出し、イソプロパノールと水でリンスし、そして次に乾燥窒素の流れ内で50℃で乾燥させた。室温まで冷却した後、超低誘電率層の厚さ、及び屈折率の変化を測定した:
処理されていない超低誘電率層とは対照的に、曝された超低誘電率層のほとんど全て、特に、2質量%、及び4質量%のTMAHを含む試験溶液(SB)に曝された層が、厚さの相当な低減を示した。150℃で、120分間、焼き鈍しすることによって、試験溶液(SB)を曝された超低誘電率層から除去した後、厚さは(特に、2質量%及び4質量%のTMAHを含む試験溶液(SB)に曝された層の場合)更に減少した。
処理されていない超低誘電率層とは対照的に、曝された超低誘電率層のほとんど全てが、(特に、2質量%及び4質量%のTMAHを含む試験溶液(SB)に曝された層の場合)屈折率の相当な増加を示した。150℃で、120分間、焼き鈍しすることによって、試験溶液(SB)を曝された超低誘電率層から除去した後、屈折率は(特に、2質量%及び4質量%のTMAHを含む試験溶液(SB)に曝された層の場合)更に増加した。
これらの結果は、TMAHの高い濃度が、それぞれの試験溶液(SB)の高いエッチング速度のために、超低誘電率層の相当なダメージをもたらすことを実証している。
超低誘電率層が、<0.5質量%TMAHを含む試験溶液(SB)に曝された場合には、この種の不利な効果は観察されなかった。これらの場合、エッチング速度は、1nm/分未満であった。
ワイヤートレンチ及びビアホールを製造するために選択的なエッチングに通常使用される、フッ素含有エッチングプラズマに曝された超低誘電率層を使用して、試験を繰り返した。プラズマダメージを受けた超低誘電率層は、ダメージを受けていない超低誘電率層と比較して、<0.5質量%TMAHを含む試験溶液(SB)に対して抵抗性が高いことがわかった。
銅ディスクのピースについて、試験を繰り返した。<0.5質量%TMAHを含む試験溶液(SB)は、エッチング速度が1nm/分未満であり、一方、1質量%、2質量%、及び4質量%のTMAHを含む試験溶液(SB)は、これよりも相当に速いエッチング速度を示した。
テトラプロピルアンモニウムヒドロキシド、テトラブチルアンモニウムヒドロキシド、及びベンジルトリメチルアンモニウムヒドロキシドについて、類似した結果が得られた。水酸化第4級アンモニウムの反応性は、TMAHよりも低く、そしてこの順序で低下した。このことは、組成物の微細な調整の可能性、及びこれらを特殊な製造条件に、単純な方法で適用することの可能性を示すものである。
つまり、これらの見出されたことで、次のことが強調される。すなわち、実施例1に従い選ばれる極性有機溶媒(A)、及び低濃度の水酸化第4級アンモニウム、特にTMAHを含む組成物が、最も良好に適合し、及び(VLI及びVLSIを有するICsの製造のためのline(BEOL)copperダマシンプロセスのback−and−ofで、超低誘電率材料にダメージを与えることなく、又は銅表面をエッチングすることなく、)パターン化されたフォトレジスト、ポリマー性バリア反射防止層、及びエッチング後の残留物を除去するためのレジストストリッピング組成物として最も有利に使用することができることが強調される。
実施例3
有機極性溶媒(A)、低濃度のTMAHを含む組成物の、レジストストリッピング組成物としての使用
厚さが30nmのシリコンカーバイドエッチストップ層、厚さが386nmの超低誘電率カーボンドープシリコンオキシド層、厚さが39nmのチタニウムニトリド硬質マスク層、遠UV吸収基(UV absorbing group)を含む、厚さが28nmのポリマー性バリア反射防止層、ペンディングアダマンタン、及びラクトン基を含むメタクリレートコポリマーをベースにした、厚さが60nmの、ポジティブ194nm遠UVレジストで、この順番で被覆された300mmシリコン半導体ウエハーを実施例3のために使用した。
被覆されたシリコン半導体ウエハーを、(寸法が100nm未満の種々の開口を有する)試験マスクを通して、194nmの遠UV照射で照射し、ネガティブレジストの曝された領域を可溶化した。この後、マスクを除去し、そして照射されたレジスト層を水酸化ナトリウム水溶液で現像し、所望のレジストパターンを生成した。
パターン化されたレジストをマスクとして使用して、被覆されたシリコン半導体ウエハーの上側表面を、フッ素含有エッチングプラズマに曝した。ここで、レジストパターンによって保護されていない、チタニウムニトリド硬質マスク層のポリマー性バリア反射防止層の領域を除去した。この工程で、超低誘電率層はエッチング(not etched through)されなかったが、超低誘電率層の全厚さと比較して僅かな深さ(がエッチングされた)。
成分を所望の量で混合し、及び得られた混合物を均一化することにより、レジストストリッピング組成物を製造した。成分とその量を表3に示す。百分率は、各レジストストリッピング組成物の全質量に基づくものである。
Figure 0005836932
表3のレジスト組成物3.1〜3.5は、回転式粘度計で測定して、50℃で、動的粘度が2〜5mPasであった。これらを、エッチングされた被覆シリコン半導体ウエハーから、パターン化されたレジスト、パターン化されたバリア反射防止層、及びエッチング後の残留物を除去するために使用した。この目的のために、ウエハーをビーカー内に配置し、そして攪拌(100rpm)されたレジストストリッピング組成物に、50℃で、300秒間、曝した。この後、ウエハーをレジストストリッピング組成物から取り出し、イソプロパノールでリンスし、そして次に水でリンスし、そして50℃で、乾燥した窒素の流れを使用して乾燥させた。室温にまで冷却した後、硬質マスクの構造をAFM(原子間力顕微鏡)及びSEM(走査電気化学顕微鏡)を使用して欠陥について検査した。
全てのケースにおいて、パターン化されたマスクのステップ高さは、そのオリジナルの厚さに、正確に等しいものであった。このことは、レジストストリッピング組成物が、パターン化されたレジスト、パターン化されたバリア反射防止層、及びエッチング後の残留物を、超低誘電率層をアタック(攻撃)することなく除去したことを実証するものである。パターン化した硬質マスクは、試験マスクの構造を正確に再生した。欠陥、変形、不規則な側壁、残留物、又は再堆積した材料は観察されず、レジストストリッピング組成物が、卓越した相性(適合性)と共に、卓越した洗浄力を示すことが明確に理解される。
実施例4
極性有機溶媒(A)及び低濃度のTMAHを含む組成物の、ポジティブトーン及びネガティブトーンフォトレジスト、及びエッチング後の残留物をストリップ除去するための使用、及び組成物、及び支持体上に薄くされたシリコンウエハーを結合させているグリュー材料の相性(適合性)
実施例4を行うために、実施例3の組成物3.1〜3.5を使用した。
厚さが3.5μm、7μm及び5μmの、市販されているポジティブトーンレジスト、又はネガティブトーンレジスト層を、それぞれビーカー中の組成物3.1〜3.5に、65℃で、5分間曝した。次にこれらを脱イオン水で3分間リンスし、そして窒素ガンを使用して乾燥した。
グリュー材料との相性を同様にチェックした。
光学的検査及びFTIR分光分析を使用して、レジストがブランケットシリコンウエハから完全に除去されたことが確認された。一方、グリュー材料は、組成物3.1〜3.5によってアタックされることがなかった。
ポジティブトーンフォトレジスト、ネガティブトーンフォトレジスト、及びエッチング後の残留物の、銅マイクロ−バンプ、銅メッキ、及びTSVを有するパターン化されたシリコンウエハーピースからの除去を、同様の方法で試験した。X−SEMにより、組成物3.1〜3.5が、フォトレジスト及び残留物を(微細構造にダメージを与えることなく)完全に除去することができることが確認された。

Claims (14)

  1. 電気装置を製造するための方法であって、以下の工程、
    (1)少なくとも1種の低誘電率材料又は超低誘電率材料から成る絶縁性誘電体層を、基材の頂部に施す工程、
    (2)ポジティブ又はネガティブなレジスト層を、絶縁性誘電体層(1)の頂部に施す工程、
    (3)レジスト層(2)を電磁放射又は粒子線に、選択的に曝す工程、
    (4)選択的に曝されたレジスト層(3)を現像し、レジストパターンを形成する工程、
    (5)レジストパターン(4)をマスクとして使用して絶縁性誘電体層(1)をドライエッチングし、基材表面と通じるワイヤートレンチ及び/又はビアホールを形成する工程、
    (6)それぞれの試験液の全質量に対する百分率で表して、0.06〜4質量%の、溶解したテトラメチルアンモニウムヒドロキシド(B)の存在下に、遠UV吸収発色団を含む、厚さが30nmのポリマー性バリア反射防止層について、50℃で一定の除去速度を示す、ジエチレントリアミン、N−メチルイミダゾール、3−アミノ−1−プロパノール、5−アミノ−1−ペンタノール、及びジメチルスルホキシドから成る群から選ばれる少なくとも1種の極性有機溶媒(A)を選択する工程、
    (7)N−アルキルピロリドン及びヒドロキシルアミン、及びヒドロキシルアミン誘導体を含まず、及び回転式粘度計で測定して、50℃で、動的せん断粘度が1〜10mPasであり、及び当該組成物の全質量に対して、
    (A)工程(6)から選ばれる、40〜99.5質量%の少なくとも1種の極性有機溶媒、
    (B)組成物の全質量に対して、0.05〜<0.5質量%の、少なくとも1種の水酸化第4級アンモニウム、及び
    (C)組成物の全質量に対して、<質量%の水、
    を含む少なくとも1種のレジストストリッピング組成物を用意する工程、
    (8)オールウェット法によって、工程(7)に従って製造された、少なくとも1種のレジストストリッピング組成物(7)を使用して、レジストパターン及びエッチング後の残留物を除去する工程、及び、
    (9)ワイヤートレンチ(5)及びビアホール(5)を、電気抵抗が低い少なくとも1種の材料で充填する工程、
    を含むことを特徴とする電気装置を製造するための方法。
  2. 水酸化第4級アンモニウム(B)が、テトラメチルアンモニウム、テトラエチルアンモニウム、テトラプロピルアンモニウム、テトラブチルアンモニウム、ベンジルトリメチルアンモニウム、及び(2−ヒドロキシエチル)アンモニウムヒドロキシドから成る群から選ばれることを特徴とする請求項1に記載の方法。
  3. 水酸化第4級アンモニウム(B)が、テトラメチルアンモニウムヒドロキシドであることを特徴とする請求項2に記載の方法。
  4. 溶媒(A)とは異なる極性有機溶媒(D)、防蝕剤(E)、キレート剤(F)、フッ化塩(G)、及び界面活性剤(H)から成る群から選ばれる、少なくとも1種の追加的な成分を含むことを特徴とする請求項1に記載の方法。
  5. 防蝕剤(E)が、銅防蝕剤から成る群から選ばれることを特徴とする請求項4に記載の方法。
  6. 硬質マスク層(10)が、レジスト層(2)と絶縁性誘電体層(1)の間に配置され、前記硬質マスク層(10)は、工程(5)で、マスクとしてレジストパターン(4)を使用して選択的にエッチングされることを特徴とする請求項1に記載の方法。
  7. バリア反射防止層(11)が、レジスト層(2)と絶縁性誘電体層(1)の間に配置され、前記バリア反射防止層(11)は、工程(5)で、マスクとしてレジストパターン(4)を使用して選択的にエッチングされることを特徴とする請求項1に記載の方法。
  8. バリア反射防止層(11)が、硬質マスク層(10)とレジスト層(2)の間に配置され、前記バリア反射防止層(11)及び硬質マスク層(10)は、工程(5)で選択的にエッチングされることを特徴とする請求項6に記載の方法。
  9. 選択的にエッチングされたバリア反射防止層(11)が、工程(8)で除去されることを特徴とする請求項1又は6に記載の方法。
  10. 電気抵抗が低い材料(9)として、銅が使用されることを特徴とする請求項1に記載の方法。
  11. 製造された電気装置が、半導体集積回路、液晶パネル、有機エレクトロルミネセントパネル、プリント基板、マイクロマシン、DNAチップ及びマイクロプラントであることを特徴とする請求項1に記載の方法。
  12. パターン化Through Silicon Viasによる、及び/又はメッキ及びバンピングによる3D Stacked Integrated Circuits及び3DWafer Level Packingsの製造で、レジストストリッピング組成物を、ネガティブ−トーン、及びポジティブ−トーンフォトレジスト、及びエッチング後の残留物を除去するために使用する方法であって、
    前記組成物は、N−アルキルピロリドン及びヒドロキシルアミン、及びヒドロキシルアミン誘導体を含まず、及び回転式粘度計で測定して、50℃で、動的せん断粘度が1〜10mPasであり、及び組成物の全質量に対して、
    (A)それぞれの試験液(AB)の全質量に対する百分率で表して、0.06〜4質量%の、溶解したテトラメチルアンモニウムヒドロキシド(B)の存在下に、遠UV吸収発色団を含む、厚さが30nmのポリマー性バリア反射防止層について、50℃で一定の除去速度を示す、ジエチレントリアミン、N−メチルイミダゾール、3−アミノ−1−プロパノール、5−アミノ−1−ペンタノール、及びジメチルスルホキシドから成る群から選ばれる、組成物の全質量に対して40〜99.95質量%の少なくとも1種の極性有機溶媒、
    (B)組成物の全質量に対して0.05〜<0.5質量%の、少なくとも1種の水酸化第4級アンモニウム、及び
    (C)組成物の全質量に対して、<質量%の水、
    を含むことを特徴とする方法。
  13. 銅及び低誘電率材料又は超低誘電率材料を含む半導体基材からパターン化されたレジストを除去するためにレジストストリッピング組成物を使用する方法であって、
    前記組成物は、N−アルキルピロリドン及びヒドロキシルアミン、及びヒドロキシルアミン誘導体を含まず、及び回転式粘度計で測定して、50℃で、動的せん断粘度が1〜10mPasであり、及び組成物の全質量に対して、
    (A)それぞれの試験液(AB)の全質量に対する百分率で表して、0.06〜4質量%の、溶解したテトラメチルアンモニウムヒドロキシド(B)の存在下に、遠UV吸収発色団を含む、厚さが30nmのポリマー性バリア反射防止層について、50℃で一定の除去速度を示す、ジエチレントリアミン、N−メチルイミダゾール、3−アミノ−1−プロパノール、5−アミノ−1−ペンタノール、及びジメチルスルホキシドから成る群から選ばれる、組成物の全質量に対して40〜99.95質量%の少なくとも1種の極性有機溶媒、
    (B)組成物の全質量に対して0.05〜<0.5質量%の、少なくとも1種の水酸化第4級アンモニウム、及び
    (C)組成物の全質量に対して、<質量%の水、
    を含むことを特徴とする方法。
  14. エッチング後残留物(PER)及びバリア反射防止層(BARC)を除去することを特徴とする請求項13に記載の方法。
JP2012508978A 2009-05-07 2010-04-20 レジストストリッピング組成物及び電気装置を製造するための方法 Active JP5836932B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17617909P 2009-05-07 2009-05-07
US61/176,179 2009-05-07
PCT/EP2010/055205 WO2010127943A1 (en) 2009-05-07 2010-04-20 Resist stripping compositions and methods for manufacturing electrical devices

Publications (3)

Publication Number Publication Date
JP2012526295A JP2012526295A (ja) 2012-10-25
JP2012526295A5 JP2012526295A5 (ja) 2013-06-06
JP5836932B2 true JP5836932B2 (ja) 2015-12-24

Family

ID=42271987

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012508978A Active JP5836932B2 (ja) 2009-05-07 2010-04-20 レジストストリッピング組成物及び電気装置を製造するための方法

Country Status (11)

Country Link
US (1) US9146471B2 (ja)
EP (1) EP2427804B1 (ja)
JP (1) JP5836932B2 (ja)
KR (1) KR101799602B1 (ja)
CN (1) CN102804074B (ja)
IL (1) IL215954A (ja)
MY (1) MY158776A (ja)
RU (1) RU2551841C2 (ja)
SG (2) SG10201402081TA (ja)
TW (1) TWI492001B (ja)
WO (1) WO2010127943A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012127342A1 (en) 2011-03-18 2012-09-27 Basf Se Method for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices having patterned material layers with line-space dimensions of 50 nm and less
CN104169801B (zh) * 2012-03-16 2019-12-17 巴斯夫欧洲公司 光致抗蚀剂剥离和清洁组合物、其制备方法及其用途
SG11201500235XA (en) * 2012-07-16 2015-02-27 Basf Se Composition for manufacturing integrated circuit devices, optical devices, micromachines and mechanical precision devices
CN104769733B (zh) * 2012-07-24 2017-08-08 株式会社Lg化学 用于改进发光器件的光提取效率的方法以及用于制造发光器件的方法
JP6165665B2 (ja) * 2013-05-30 2017-07-19 信越化学工業株式会社 基板の洗浄方法
US9472420B2 (en) 2013-12-20 2016-10-18 Air Products And Chemicals, Inc. Composition for titanium nitride hard mask and etch residue removal
CN104774697A (zh) * 2015-04-28 2015-07-15 苏州永创达电子有限公司 一种液晶清洗剂
US9976111B2 (en) 2015-05-01 2018-05-22 Versum Materials Us, Llc TiN hard mask and etch residual removal
KR102384908B1 (ko) * 2015-11-25 2022-04-08 삼성전자주식회사 자성 패턴 세정 조성물, 자성 패턴 형성 방법 및 자기 메모리 장치의 제조 방법
KR20180087624A (ko) 2017-01-25 2018-08-02 동우 화인켐 주식회사 레지스트 박리액 조성물
US11175587B2 (en) * 2017-09-29 2021-11-16 Versum Materials Us, Llc Stripper solutions and methods of using stripper solutions
US10948826B2 (en) * 2018-03-07 2021-03-16 Versum Materials Us, Llc Photoresist stripper
KR20200076778A (ko) 2018-12-19 2020-06-30 삼성전자주식회사 반도체 패키지의 제조방법
JP7273660B2 (ja) * 2019-08-30 2023-05-15 キオクシア株式会社 半導体製造装置、および半導体装置の製造方法
KR20220058094A (ko) 2020-10-30 2022-05-09 주식회사 이엔에프테크놀로지 포토레지스트 제거용 박리액 조성물
KR20220150134A (ko) * 2021-05-03 2022-11-10 삼성전자주식회사 포토레지스트 박리 조성물과 이를 이용하는 반도체 소자 및 반도체 패키지의 제조 방법
CN117031895A (zh) * 2023-08-17 2023-11-10 浙江奥首材料科技有限公司 一种芯片光刻胶剥离液、其制备方法及用途

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SU1834588A1 (ru) * 1989-12-07 1996-07-10 Научно-исследовательский институт точного машиностроения Способ формирования рельефа интегральных микросхем
CA2193905A1 (en) 1996-12-24 1998-06-24 Luc Ouellet Integrated processing for an etch module
JPH10239865A (ja) * 1997-02-24 1998-09-11 Jsr Corp ネガ型フォトレジスト用剥離液組成物
US6218078B1 (en) 1997-09-24 2001-04-17 Advanced Micro Devices, Inc. Creation of an etch hardmask by spin-on technique
US5919599A (en) 1997-09-30 1999-07-06 Brewer Science, Inc. Thermosetting anti-reflective coatings at deep ultraviolet
US7579308B2 (en) 1998-07-06 2009-08-25 Ekc/Dupont Electronics Technologies Compositions and processes for photoresist stripping and residue removal in wafer level packaging
US7547669B2 (en) 1998-07-06 2009-06-16 Ekc Technology, Inc. Remover compositions for dual damascene system
RU2145156C1 (ru) * 1999-02-09 2000-01-27 Нижегородский государственный технический университет Способ формирования структур в микроэлектронике
GB0009112D0 (en) * 2000-04-12 2000-05-31 Ekc Technology Ltd Inhibition of titanium corrosion
JP3738996B2 (ja) 2002-10-10 2006-01-25 東京応化工業株式会社 ホトリソグラフィー用洗浄液および基板の処理方法
CN100403169C (zh) 2001-07-13 2008-07-16 Ekc技术公司 亚砜吡咯烷酮链烷醇胺剥离和清洗组合物
CN100338530C (zh) 2001-11-02 2007-09-19 三菱瓦斯化学株式会社 剥离抗蚀剂的方法
US20030148624A1 (en) 2002-01-31 2003-08-07 Kazuto Ikemoto Method for removing resists
KR101017738B1 (ko) * 2002-03-12 2011-02-28 미츠비시 가스 가가쿠 가부시키가이샤 포토레지스트 박리제 조성물 및 세정 조성물
TWI297725B (en) * 2002-04-25 2008-06-11 Arch Spec Chem Inc Non-corrosive cleaning compositions for pemoving etch residues
JP3516446B2 (ja) 2002-04-26 2004-04-05 東京応化工業株式会社 ホトレジスト剥離方法
JP4443864B2 (ja) 2002-07-12 2010-03-31 株式会社ルネサステクノロジ レジストまたはエッチング残さ物除去用洗浄液および半導体装置の製造方法
US7399365B2 (en) 2003-04-18 2008-07-15 Ekc Technology, Inc. Aqueous fluoride compositions for cleaning semiconductor devices
KR20060014388A (ko) 2003-05-02 2006-02-15 이케이씨 테크놀로지, 인코포레이티드 반도체 공정에서의 에칭후 잔류물의 제거 방법
RU2263998C2 (ru) * 2003-06-05 2005-11-10 Открытое акционерное общество "НИИ молекулярной электроники и завод "Микрон" Способ изготовления тонкопленочной структуры межсоединений принтерной головки с тонкопленочным резистором
KR101043397B1 (ko) 2003-07-10 2011-06-22 주식회사 동진쎄미켐 티에프티 엘시디 제조 공정의 칼라 레지스트 제거용박리액 조성물
US7384900B2 (en) * 2003-08-27 2008-06-10 Lg Display Co., Ltd. Composition and method for removing copper-compatible resist
JP2005181802A (ja) * 2003-12-22 2005-07-07 Asahi Kasei Electronics Co Ltd レジスト剥離液組成物
US9217929B2 (en) 2004-07-22 2015-12-22 Air Products And Chemicals, Inc. Composition for removing photoresist and/or etching residue from a substrate and use thereof
US20060213780A1 (en) 2005-03-24 2006-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Electroplating composition and method
US7432210B2 (en) 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
KR100908601B1 (ko) 2007-06-05 2009-07-21 제일모직주식회사 반사방지 하드마스크 조성물 및 이를 이용한 기판상 재료의패턴화 방법
US7884019B2 (en) 2007-06-07 2011-02-08 Texas Instruments Incorporated Poison-free and low ULK damage integration scheme for damascene interconnects
US7981812B2 (en) 2007-07-08 2011-07-19 Applied Materials, Inc. Methods for forming ultra thin structures on a substrate
CN101398638A (zh) 2007-09-29 2009-04-01 安集微电子(上海)有限公司 一种光刻胶清洗剂
US20090121353A1 (en) * 2007-11-13 2009-05-14 Ramappa Deepak A Dual damascene beol integration without dummy fill structures to reduce parasitic capacitance
CN101578341A (zh) * 2008-01-07 2009-11-11 巴斯夫欧洲公司 有机涂膜剥离用组合物及剥离有机涂膜的方法
RU2010148303A (ru) 2008-04-28 2012-06-10 Басф Се (De) Low-к диэлектрики, получаемые методом twin-полимеризации
CN102046699B (zh) 2008-05-26 2012-09-05 巴斯夫欧洲公司 制备多孔材料的方法和通过该方法制备的多孔材料
US8444768B2 (en) * 2009-03-27 2013-05-21 Eastman Chemical Company Compositions and methods for removing organic substances
WO2010127941A1 (en) 2009-05-07 2010-11-11 Basf Se Resist stripping compositions and methods for manufacturing electrical devices

Also Published As

Publication number Publication date
CN102804074B (zh) 2015-03-04
SG10201402081TA (en) 2014-07-30
SG175820A1 (en) 2011-12-29
TWI492001B (zh) 2015-07-11
KR20120024714A (ko) 2012-03-14
US20120058644A1 (en) 2012-03-08
JP2012526295A (ja) 2012-10-25
TW201044124A (en) 2010-12-16
IL215954A0 (en) 2012-01-31
CN102804074A (zh) 2012-11-28
WO2010127943A1 (en) 2010-11-11
IL215954A (en) 2017-01-31
EP2427804B1 (en) 2019-10-02
MY158776A (en) 2016-11-15
US9146471B2 (en) 2015-09-29
EP2427804A1 (en) 2012-03-14
KR101799602B1 (ko) 2017-11-20
RU2011149552A (ru) 2013-06-20
RU2551841C2 (ru) 2015-05-27

Similar Documents

Publication Publication Date Title
JP5836932B2 (ja) レジストストリッピング組成物及び電気装置を製造するための方法
JP5663562B2 (ja) レジストストリッピング組成物及び電気装置を製造するための方法
KR102378486B1 (ko) 에칭제 용액 및 이를 사용하는 방법
WO2010127941A1 (en) Resist stripping compositions and methods for manufacturing electrical devices
TWI274968B (en) Composition for stripping and cleaning and use thereof
TWI355416B (en) Aqueous cleaning composition for removing residues
US20040038840A1 (en) Oxalic acid as a semiaqueous cleaning product for copper and dielectrics
KR20040032855A (ko) 술폭시드 피롤리드(인)온 알칸올아민 박리 및 세정 조성물
JP2007510173A (ja) 石英で被覆したポリシリコンおよびその他の資材の洗浄におけるビスコリンおよびトリスコリンの使用工程
EP1550912A1 (en) Method for removing photoresist
JP2007531006A (ja) パターン化されたイオン注入フォトレジストのウエハーから裏面反射防止膜を除去するのに有用な組成物
JP2006096984A (ja) 残留物を除去するための組成物及び方法
JP2007109744A (ja) 基板洗浄液
JP2007311729A (ja) 基板洗浄液
JP2005217116A (ja) 銅配線用非フッ素系残渣洗浄剤

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130416

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130416

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140414

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140422

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140722

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140729

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20140819

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20140826

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140919

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140919

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150525

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20151006

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20151104

R150 Certificate of patent or registration of utility model

Ref document number: 5836932

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250