JP5805851B2 - リソグラフィシステムにおいて基板を処理する方法 - Google Patents

リソグラフィシステムにおいて基板を処理する方法 Download PDF

Info

Publication number
JP5805851B2
JP5805851B2 JP2014506911A JP2014506911A JP5805851B2 JP 5805851 B2 JP5805851 B2 JP 5805851B2 JP 2014506911 A JP2014506911 A JP 2014506911A JP 2014506911 A JP2014506911 A JP 2014506911A JP 5805851 B2 JP5805851 B2 JP 5805851B2
Authority
JP
Japan
Prior art keywords
substrate
unit
robot
load lock
clamped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2014506911A
Other languages
English (en)
Other versions
JP2014513429A (ja
JP2014513429A5 (ja
Inventor
クイパー、ビンセント・シルベスター
スロット、アーウィン
ファン・ケルビンック、マルセル・ニコラース・ヤコブス
デ・ボア、ギード
デ・ヨン、ヘンドリク・ヤン
Original Assignee
マッパー・リソグラフィー・アイピー・ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マッパー・リソグラフィー・アイピー・ビー.ブイ. filed Critical マッパー・リソグラフィー・アイピー・ビー.ブイ.
Publication of JP2014513429A publication Critical patent/JP2014513429A/ja
Publication of JP2014513429A5 publication Critical patent/JP2014513429A5/ja
Application granted granted Critical
Publication of JP5805851B2 publication Critical patent/JP5805851B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • G03F7/7075Handling workpieces outside exposure position, e.g. SMIF box
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70733Handling masks and workpieces, e.g. exchange of workpiece or mask, transport of workpiece or mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67225Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one lithography chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electron Beam Exposure (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)

Description

本発明は、リソグラフィシステムにおいて基板を処理する方法に関し、特には、リソグラフィシステムの基板準備ユニットとロードロックユニットの間で基板を搬送する方法に関する。
半導体産業では、より小さい構造体を高精度と信頼度で製造する常に増大する要望は、ウェーハ加工技術において大きな要求である。特に、最低の資本費用および運転費を維持しつつ、床面積の過度の使用なしで、ウェーハ処理装置のウェーハスループットを最大限にすることは重要である。ほとんどの空間が高い標準クリーン・ルームコンディションを満たす必要があるので、半導体製造環境における床面積は高価である。したがって、ウェーハ処理装置によって占有される床面積、すなわち、いわゆる設置面積は、好ましくは、可能な限り限定される。さらに、クリーン・ルームコンディションが維持されることができることを保証するために、ウェーハ処理装置は、好ましくは、クリーン・ルーム内で点検修理される。
ウェーハ上の集積回路の製造の非常に重大なステップは、リソグラフィである。リソグラフィ処理では、所定のパターンが、しばしばウェーハと呼ばれる半導体基板上に転写される。現在、リソグラフィ装置でパターン形成される構造体の最も小さい寸法は、約70nmのサイズである。しかしながら、より複雑な回路を作製するために、より小さいサイズの構造体が望まれている。
リソグラフィシステムのスループットも、重大な要因である。荷電粒子リソグラフィ機械装置は、非常に小さい寸法で基板にパターン形成することが可能であるが、スループットは低い。現在、毎時約100ウェーハをパターン形成することができる光学的リソグラフィ機械装置が入手可能である。おのおの毎時約10のウェーハをパターン形成することができる、10の荷電粒子リソグラフィ機械装置のクラスターが、このスループットに応えることができる。
露光される基板の各リソグラフィ機械装置への効率的な配達と、露光された基板の各リソグラフィ機械装置からの回収は、システムのスループットを全体として最大にする重大な要因である。
リソグラフィシステムのリソグラフィシステムユニットにおいて複数の基板を処理する方法を提供することが本発明の目的であり、前記リソグラフィシステムユニットは、少なくとも二つの基板準備ユニットと、少なくとも第一および第二の基板位置を備えているロードロックユニットと、前記基板準備ユニットと前記ロードロックユニットの間で基板を搬送するための基板ハンドリングロボットを備えている。前記方法は、N番目基板と、前記N番目基板のすぐ前のN−1番目基板と、前記N番目基板のすぐ後のN+1番目基板を含む、露光される一連の基板を前記ロボットに提供することと、前記N番目基板を前記ロボットによって前記基板準備ユニットの第一の一つに搬送することと、前記N番目基板を前記第一の基板準備ユニットの第一の基板支持構造体にクランプすることを有しており、前記N番目基板と前記第一の基板支持構造体は一緒にクランプされたN番目基板を形成し、また、前記クランプされたN番目基板を前記ロボットによって前記第一の基板準備ユニットから前記リソグラフィシステムユニットにおける露光のためのロードロックユニットの第一および第二の位置の占有されていない一つに搬送することと、前記リソグラフィシステムユニットにおいて前記クランプされたN番目基板を露光することを有している。前記クランプされたN番目基板は、好適には、前記リソグラフィシステムユニットにおける前記N−1番目基板の露光が完了される前に、前記ロードロックユニットに搬送される。
前記方法は、前記N+1番目基板を前記ロボットによって前記基板準備ユニットの第二のものに搬送することと、前記N+1番目基板を前記第二の基板準備ユニットの第二の基板支持構造体にクランプすることをさらに有していてよく、前記N+1番目基板と前記第二の基板支持構造体は一緒にクランプされたN+1番目基板を形成し、また、前記クランプされたN+1番目基板を前記ロボットによって前記第二の基板準備ユニットから前記リソグラフィシステムユニットにおける露光のためのロードロックユニットの第一および第二の位置の占有されていない一つに搬送することを有していてよい。前記クランプされたN+1番目基板は、好適には、前記リソグラフィシステムユニットにおける前記N番目基板の露光が完了される前に、前記ロードロックユニットに搬送される。
前記方法は、前記露光されたクランプされたN番目基板を、前記ロボットによって、前記クランプされたN+1番目基板によって占有されたのとは違う前記ロードロックの前記第一および第二の位置の別の一つから前記第二の基板準備ユニットに搬送することと、前記露光されたN番目基板を前記第二の基板準備ユニットの前記第一の基板支持構造体から切り離すことと、前記リソグラフィシステムユニットからの取り外しのために前記露光されたN番目基板を前記ロボットによって前記第二の基板準備ユニットから搬送することをさらに有していてよく、前記クランプされたN+1番目基板は、前記露光されたクランプされたN番目基板が前記ロードロックユニットから搬送される前に、前記ロードロックユニットに搬送される。
前記リソグラフィシステムユニットはさらに、基板ストレージユニットを備えていてよく、前記方法はさらに、前記N番目基板を前記ロボットによって前記基板ストレージユニットに搬送することを有していてよく、前記N番目基板を前記基板準備ユニットの第一の一つに搬送することは、前記N番目基板を前記ロボットによって前記基板ストレージユニットから前記基板準備ユニットの第一の一つに搬送することを有している。
前記リソグラフィシステムユニットはまた、基板インターフェースユニットを備えていてよく、前記インターフェースユニットは、基板配達ロボットと前記基板ハンドリングロボットの間の前記基板の搬送のために配されている。前記N番目基板を前記基板ストレージユニットに搬送することは、前記N番目基板を前記ロボットによって前記インターフェースユニットから前記基板ストレージユニットに搬送することを有していてよく、前記リソグラフィシステムユニットからの取り外しのために前記露光されたN番目基板を搬送することは、前記リソグラフィシステムユニットからの取り外しのために前記露光されたN番目基板を前記ロボットによって前記第二の基板準備ユニットから前記インターフェースユニットに搬送することを有している。
前記リソグラフィシステムはさらに、前記基板を受け取り、それらを前記インターフェースユニットに水平に搬送するために、また、露光された基板を前記インターフェースユニットから搬送し、前記リソグラフィシステムユニットからの取り外しのためにそれらを水平に搬送するために配された水平搬送ロボットを備えていてよい。前記N番目基板を前記基板ストレージユニットに搬送する前に、前記N番目基板を前記水平搬送ロボットによって前記インターフェースユニットに搬送することと、前記露光されたN番目基板を前記インターフェースユニットに前記基板ハンドリングロボットによって搬送した後に、前記露光されたN番目基板を前記インターフェースユニットから前記水平搬送ロボットによって搬送することを有していてよい。
前記第一および第二の基板準備ユニットと、前記ロードロックユニットの前記第一および第二の基板位置は、互いに対して鉛直に配されており、前記基板ハンドリングロボットは、前記基板準備ユニットと前記ロードロックユニットの前記第一および第二の基板位置の間で、前記基板を鉛直に搬送するために配されていてよい。この構成では、前記方法の前記搬送ステップは、前記基板ハンドリングロボットによる鉛直搬送を有していてよい。
前記リソグラフィシステムユニットはさらに、基板ストレージユニットとインターフェースユニットを備えていてよい。前記第一および第二の基板準備ユニットと、前記ロードロックユニットの前記第一および第二の基板位置と、前記ストレージシステムと、前記インターフェースユニットは、互いに対して垂直に配されていて、前記基板ハンドリングロボットは、前記基板準備ユニットと、前記ロードロックユニットの前記第一および第二の基板位置と、前記ストレージシステムと、前記インターフェースユニットの間で、前記基板を鉛直に搬送するために配されていてよい。
前に第三の基板支持構造体にクランプされ、前記リソグラフィ装置において露光された前記露光されたクランプされたN−1番目基板を、前記ロボットによって、前記クランプされたN番目基板によって占有されたのとは違う前記ロードロックの前記第一および第二の位置の別の一つから前記第一の基板準備ユニットに搬送することと、前記露光されたN−1番目基板を前記第一の基板準備ユニットの第三の基板支持構造体から切り離すことと、前記リソグラフィシステムユニットからの取り外しのための前記露光されたN−1番目基板を前記ロボットによって前記第一の基板準備ユニットから搬送することをさらに有していてよい。前記クランプされたN番目基板は、前記リソグラフィ装置における前記クランプされたN−1番目基板の露光が完了される前に、前記ロードロックユニットに搬送されてよい。
前記基板のおのおのを前記基板支持構造体の一つにクランプするのに先立って、前記基板準備ユニットの一つの所定の方位に向けて前記基板を事前整列させることを有していてよい。前記基板をクランプするための前記基板準備ユニットの一つへの搬送の前に、所定の方位に向けた前記基板のおのおのの粗い事前整列をさらに有していてよい。前記基板のおのおのを前記基板支持構造体の一つにクランプするのに先立って、前記基板支持構造体から熱的エネルギーを除去することによる前記基板支持構造体の熱的コンディション調整をさらに有していてよい。
前記ロードロックは、ロードロックロボットを備えていてよく、また、前記方法はさらに、前記ロードロックユニットの前記第一および第二の位置の占有されていない一つへの前記クランプされたN番目基板の搬送の後にロードロックユニットをポンプ引きすることと、前記露光されたクランプされたN−1番目基板を、前記ロードロックロボットアームによって、前記リソグラフィシステムユニットから、ポンプ引きされたロードロックユニットの前記第一および第二の位置の占有されていない一つに搬送することと、前記クランプされたN番目基板を、前記ロードロックロボットによって、前記ポンプ引きされたロードロックから前記リソグラフィシステムユニットの中に搬送することと、前記露光されたクランプされたN−1番目基板を前記第一の基板準備ユニットに搬送する前に、前記ロードロックユニットを通気することをさらに有していてよい。
前記ポンプ引きされたロードロックユニットの前記第一および第二の位置は、互いに対して鉛直に配されていてよく、また、前記ロードロックロボットは、前記基板を前記第一の位置からおよびその位置に搬送するために配された上側ハンドリング本体と、前記基板を第二の位置からおよびその位置に搬送するために配された下側ハンドリング本体を備えていてよい。
いま発明された原理は、さまざまな手法で実施の中に置かれてよいことは明白であろう。
本発明のさまざまな側面が、図面に示される実施形態を参照してさらに説明される。
図1は、荷電粒子リソグラフィ装置の実施形態の単純化された概略図である。 図2は、モジュール式リソグラフィ装置の単純化されたブロック図である。 図3aは、リソグラフィシステムのレイアウトの上面図を示している。 図3bは、図3aのリソグラフィシステムの一部分の断面側面図を概略的に示している。 図3cは、図3aのリソグラフィシステムの別の一部分の側面図を概略的に示している。 図4は、クラスター化された荷電粒子リソグラフィシステム内のリソグラフィシステムユニットを概略的に示している。 図5は、リソグラフィシステムユニットにおける基板ハンドリングロボットの代表的軌道を概略的に示している。 図6は、クラスター化されたリソグラフィシステムを示している。 図7は、そのカバーが取り除かれたクラスター化されたリソグラフィシステムの一部分を示している。 図8aは、基板搬送のある段階における基板搬送システムと準備システムの間のインターフェースを示している。 図8bは、基板搬送のある段階における基板搬送システムと準備システムの間のインターフェースを示している。 図9aは、本発明の実施形態によるキャリヤを概略的に示している。 図9bは、本発明の実施形態によるキャリヤを概略的に示している。 図10は、ロードロックシステムにおいて使用されるクランプされた基板ハンドリングユニットを概略的に示している。 図11は、それに基板がクランプされる基板支持構造体の配置のための基板準備ユニットを示している。 図12は、概略的にロードロックシステムにおいて使用されるクランプされた基板ハンドリングロボットを示している。 図13aは、基板準備ユニットからロードロックシステムの方へのクランプされた基板の搬送を示している。 図13bは、図13aに描かれたロードロックシステムのさらなる詳細視を示している。 図14aは、ロードロックシステムから基板準備ユニットの方への処理されたクランプされた基板の搬送を概略的に示している。 図14bは、ロードロックシステムから基板準備ユニットの方への処理されたクランプされた基板の搬送を概略的に示している。 図15aは、ロードロックシステム内におけるクランプされた基板の交換のある段階を示している。 図15bは、ロードロックシステム内におけるクランプされた基板の交換のある段階を示している。
実例実施形態の説明
以下は、本発明のさまざまな実施形態の説明であり、単なる例として図面に関連して与えられた。
図1は、荷電粒子リソグラフィ装置100の実施形態の単純化された概略図を示している。そのようなリソグラフィシステムは、たとえば、米国特許第6,897,458号と第6,958,804号と第7,019,908号と第7,084,414号と第7,129,502号、米国特許出願公開2007/0064213号、同時継続中の米国特許出願シリアル番号61/031,573と61/031,594と61/045,243と61/055,839と61/058,596と61/101,682に説明されており、それらは、本発明の所有者にすべて譲渡され、参照によってそっくりそのまますべてここに組み込まれる。
図1に示される実施形態では、リソグラフィ装置100は、拡大する電子ビーム120を作製するための電子源101を備えている。拡大する電子ビーム120は、コリメータレンズシステム102によって平行化される。平行化された電子ビーム121は、開口アレイ103に当たり、それは、ビームの一部を遮断して複数のビームレット122を作り出す。システムは、多数のビームレット122、好ましくは約10,000ないし1,000,000のビームレットを生成する。
電子ビームレット122は集光レンズアレイ104を通過し、それは、電子ビームレット122を、ビームブランカーアレイ105の平面に合焦し、それは、電子ビームレットの一つ以上を偏向するための複数のブランカーを備えている。偏向および非偏向電子ビームレット123はビームストップアレイ108に到達し、それは複数の開口を有している。ビームレットブランカーアレイ105とビームストップアレイ108は一緒に動作して、ビームレット123を遮断するか通過させる。ビームレットブランカーアレイ105がビームレットを偏向すれば、それは、ビームストップアレイ108の対応開口を通過せず、代りに遮断される。しかし、ビームレットブランカーアレイ105がビームレットを偏向しなければ、それは、ビームストップアレイ108の対応開口と、ビーム偏向器アレイ109と、投影レンズアレイ110を通過する。
ビーム偏向器アレイ109は、非偏向ビームレットの方向に実質的に垂直なXおよび/またはY方向の各ビームレット124の偏向を提供して、ターゲットまたは基板130の表面を横切ってビームレットを走査する。次に、ビームレット124は、投影レンズアレイ110を通過し、基板130上に投影される。投影レンズ設備は、好ましくは、約100ないし500倍の縮小率を提供する。ビームレット124は、基板を運ぶための移動可能ステージ132上に置かれた基板130の表面に当たる。リソグラフィ用途にとって、基板は、通常、荷電粒子感応層またはレジスト層が設けられたウェーハを備えている。
荷電粒子リソグラフィ装置100は真空環境中で動作する。真空は、荷電粒子ビームによってイオン化され源に引きつけられるようになることがあり、分離して機械装置コンポーネント上に堆積されることがあり、荷電粒子ビームを分散させることがある粒子を除去するために望まれる。少なくとも10−6barの真空が一般に必要とされる。真空環境を維持するために、荷電粒子リソグラフィシステムは真空チャンバー140の中に配置される。荷電粒子源と、ビームレットを基板上に投影するためのプロジェクターシステムと、移動可能ステージを含む、リソグラフィ装置100の主要要素のすべてが、好ましくは、共通の真空チャンバーの中に収納される。
ある実施形態では、荷電粒子源環境は、10−10mbarもの相当により高い真空にまで差動的にポンプ引きされる。そのような実施形態では、源は、個別のチャンバーすなわち源チャンバーの中に配置され得る。源チャンバーの圧力レベルをポンプダウンすることは、次の方法でおこなわれてよい。最初に、真空チャンバーと源チャンバーが、真空チャンバーのレベルにまでポンプ引きされる。それから、源チャンバーが、好ましくは当業者によって知られている手法の化学的ゲッターによって、希望のより低い圧力に追加的にポンプ引きされる。ゲッターのような再生的で化学的ないわゆる受動ポンプを使用することによって、源チャンバー内の圧力レベルは、この目的のための真空ターボの必要なしに、真空チャンバーの圧力レベルよりも低いレベルにもたらされることができる。ゲッターの使用は、真空チャンバーの内部またはすぐ外側の近辺が、そのような目的のために真空ターボポンプまたは同様物が使用された場合のような音響および/または機械振動にさらされることを避ける。
図2は、モジュール式リソグラフィ装置200の主要な要素を示している単純化されたブロック図を示している。リソグラフィ装置200は、好ましくは、保守の容易さを可能にするためにモジュール式様式に設計されている。メージャーサブシステムが、好ましくは、自己収容かつ取り外し可能なモジュールに構成され、その結果、それらは、他のサブシステムに対して可能な限り小さい外乱をもってリソグラフィ装置から取り外されることができる。これは、真空チャンバーの中に封じ込まれたリソグラフィ機械装置にとって特に有利であり、そこでは、機械装置へのアクセスが制限されている。したがって、欠陥のあるサブシステムが、不必要に他のシステムを切り離したり妨害したりすることなく、すばやく取り外され交換されることができる。
図2に示された実施形態では、これらのモジュール式サブシステムは、荷電粒子ビーム源101とビームコリメート系102を有している照明光学モジュール201と、開口アレイ103と集光レンズアレイ104を有している開口アレイおよび集光レンズモジュール202と、ビームレットブランカーアレイ105を有しているビームスイッチングモジュール203と、ビームストップアレイ108とビーム偏向器アレイ109と投影レンズアレイ110を有している投影光学モジュール204を有している。モジュールは、アラインメントフレームの中へ、また、そこから外へスライドするように設計されている。図2に示された実施形態では、アラインメントフレームは、アラインメント内側サブフレーム205と、アラインメント外側サブフレーム206を備えている。フレーム208は、振動減衰マウント207を介して、アラインメントサブフレーム205と206を支持している。基板130は基板支持構造体209に支えられており、それは、同様に、チャック210の上に置かれている。チャック210は、ステージショートストローク211およびロングストローク212の上に鎮座している。リソグラフィ機械装置は真空チャンバー240の中に封じ込まれており、でそれは、一つまたは複数のミューメタルシールド層215を有していてよい。機械装置は、フレーム部材221に支持されたベースプレート220に支えられている。
各モジュールは、多数の電気信号および/または光信号と、その動作のための電力を必要とする。真空チャンバー240の内側のモジュールは、一般にチャンバー240の外側に設置されるコントロールシステムから、これらの信号を受け取る。真空チャンバー240は、コントロールシステムから真空ハウジングの中に信号を伝えるケーブルを、ケーブルのまわりの真空シールを維持しながら取り込むための、ポートと呼ばれる開口を有している。各モジュールは、好ましくは、そのモジュールに専用の一つ以上のポートを通って引き回される電気、光学および/または電力ケーブル接続の集まりを有している。これは、特定のモジュールのためのケーブルが、他のモジュールのためのケーブルを邪魔することなく、切り離され、取り外され、交換されることを可能にする。
図3aは、本発明の実施形態によるリソグラフィシステムユニットの一群を備えているリソグラフィシステム300のレイアウトの上面図を示している。以下では、レイアウトは、リソグラフィシステム300またはクラスター300と呼ばれ得る。図3bは、リソグラフィシステム300の一部分の断面側面図を概略的に示している。
この特定の実施形態では、リソグラフィシステム300は、十のリソグラフィシステムユニットの一群を備えている。リソグラフィシステムユニットは、五つの二列に背中合わせに配されている。クラスター300に直接隣接して、床面積が、点検修理エリア305として確保される。各リソグラフィシステムユニットは、それ自体の真空チャンバーに包含されているリソグラフィ装置301を備えており、各真空チャンバーの一方の側は、他方の列のリソグラフィシステムユニットに面しており、反対側は、クラスター300の周囲、特に点検修理エリア305に面している。
荷電粒子リソグラフィ装置の場合、真空チャンバーは、好ましくは、荷電粒子源と、パターン形成される基板上に荷電粒子ビームレットを投影するためのプロジェクターシステムと、移動可能な基板ステージを含む、リソグラフィ処理を可能にするすべての要素を備えている。たとえば、真空チャンバーは、図2を参照して論じられたチャンバー240に相当してよい。
点検修理目的のために設けられた自由エリアに面しているリソグラフィシステムユニットの側は、基板を真空チャンバーの中へ、また、そこから外へ搬送するためのロードロックシステム310を備えており、また、そのような点検修理目的のために開かれることができるアクセスドア330を備えている。
リソグラフィシステムユニットには、ロードロックシステム310と同じ側にドア330が設けられている。ドア330は、取り外し可能に取り付け可能であってよく、たとえば、搬送ユニット340を使用することによって、そっくりそのまま取り外し可能であってよい。搬送ユニット340は、ドア330を支持するように配されていてよく、また、ホイールやレールなどの一つ以上の搬送要素345を備えていてよい。リソグラフィ装置301は、リソグラフィ装置を上昇位置に位置決めするための支持構造体335によって支持されていてよい。
ロードロックシステムとアクセスドアが配置されている側にある自由エリアは、好ましくは、ドアとロードロックの設置面積を提供するのに十分に大きい。さらに、自由エリアは、リソグラフィ装置のコンポーネントを運ぶための設備の設置面積を提供するのに十分に大きいことが望ましい。
したがって、リソグラフィシステム300は、周囲に面している、特にはリソグラフィシステム300を取り巻いている点検修理エリア305に面しているロードロックシステム310とドア330を有している複数のリソグラフィシステムユニットを備えている。ロードロックシステム310とドア330の「外向き」方位のため、リソグラフィシステムユニットは、真空チャンバー内のリソグラフィ装置301を含んでおり、点検修理エリア305から直接アクセス可能である。直接アクセスは、リソグラフィシステム300の点検修理を単純化し、リソグラフィシステムまたはそれのパーツの休止時間を低減し得る。点検修理のためにただ一つの特定の真空チャンバーを開くことが、リソグラフィシステム300内の他のリソグラフィシステムユニットのスループットに影響を与えることなくおこなわれることができる。
リソグラフィシステムユニットの背中合わせのレイアウトは、リソグラフィシステム300に、限定された「設置面積」を提供する。製作体内の床面積は貴重であり、したがって、床面積の効率的使用は重要である。
ロードロックシステム310は、ドア330の中に統合されてよい。ロードロックシステム310とドア330の統合は、リソグラフィシステムユニットを製造するのに使用される材料の量を低減する。ドア330の一部分は、ロードロックシステム310のサイドフレームの一つとして直接使用されてよい。材料低減は、ドアおよびロードロックシステム組み合わせ体が、点検修理のあいだに取り扱うのにより容易になるという利点を有している。さらに、より少ない材料が製造のあいだに必要とされるので、リソグラフィシステムを製造するコストも低減される。
リソグラフィシステム300はさらに、基板供給システム315を備えている。基板供給システム315は、リソグラフィシステム300によって処理される基板を受け取り、これらの基板を、処理するためのリソグラフィシステムユニットに提供するように配されている。これは、基板供給システム315が基板を、前処理目的のための準備システム320に提供することを有効に意味することができる。パターン形成後、基板供給システム315は、パターン形成された基板を収集し得る。基板供給システム315の使用は、現在使用されているリソグラフィシステムの比較的容易な交換を可能にするので、リソグラフィシステム300が製作体内の他の機器と効率的に共働することを可能にする。
図3cは、図3aのリソグラフィシステム300の別の側面図を概略的に示している。示された実施形態では、リソグラフィシステム300はさらに、基板供給システム315から基板を受け取りおよび/またはそれに基板を送るための基板搬送システム350を備えている。基板搬送システム350は、適切なコンベヤ・システム、たとえば実質水平方向に延びているコンベヤ・システムの形を取っていてよい。
好ましくは、基板搬送システム350は、リソグラフィシステムユニットのドア330と干渉しないように設計されている。これは、図3cに示されるように実施され得る。この実施形態では、基板搬送システム350は実質水平方向に延びており、リソグラフィシステムユニットのロードロックシステム310、また準備ユニット320の上方に配されている。その結果、リソグラフィシステム300内のただ一つのリソグラフィシステムユニットのドアが、基板搬送システム350が、リソグラフィシステム300内の基板供給システム315と他のリソグラフィシステムユニットの間の基板の搬送を継続することができながら、点検修理目的のために開かれ得る。
図3a〜3cを参照して説明されたレイアウトは、リソグラフィシステムユニットのクラスターを限定された複雑さで提供する。レイアウトは、かなり容易に規模変更されることができる。たとえば、リソグラフィシステム300が80%の能力で動作する必要がある場合には、十個のリソグラフィシステムユニット中の八個だけが運転状態にあるおよび/または設置される必要がある。
さらに、リソグラフィシステム300は、信頼できるスループットを提供することができる。一つのリソグラフィシステムユニットが機能不全および/または点検修理を必要とする場合には、クラスター300内の他のリソグラフィシステムユニットがそれらの動作を継続してよい。その結果、毎時10の基板またはウェーハ(wph)のスループットで10のリソグラフィシステムユニットの場合には、一つのリソグラフィシステムユニットの機能不全は、クラスター300が、90%の効率で働き続けることを可能にする。すなわち、それは、それから、理想の100wphの代わりに、9×10wph=90wphのスループットで動作する。比較すると、現状の光学的リソグラフィ装置は100wphのスループットで動作し得る。しかしながら、そのような光学的リソグラフィ装置内のいくつかのコンポーネントが機能不全に陥った場合には、装置全体が停止される必要があり、スループットを0wphに低減する。
真空チャンバーの中へ入る前に、基板は、一般に、クランプすること、事前整列およびポンプダウンの動作を経験する。この文脈において、クランプすることは、基板を基板支持構造体上に提供して単一構造体を形成することとして定められ、その構造体は、以下では「クランプ」と呼ばれる。さらに、用語「クランプされた基板」は、基板支持構造体にクランプされている基板を表すために使用される。事前整列は、パターン形成が基板の所定の一部分にある方位におこなわれることができるように基板および/またはクランプを整列させることをさす。ポンプダウンは、汚染を最小化し、リソグラフィ装置301の中への挿入の際における真空チャンバー圧力に対する基板の影響を低減するために、基板の取り巻く圧力を低減させるステップをさす。
リソグラフィ装置301によっておこなわれたパターン形成動作の後、基板は、一般に、通気する動作と、アンクランプする動作、すなわち、基板を基板支持構造体から切り離すこと、にさらされる。通気およびアンクランプする動作の間に、基板が搬送されてよい。
ロードロックシステム310は、真空チャンバー内の真空環境へのインターフェースを形成する。システム310は、一般に、上に説明されたポンプダウン動作と通気する動作のために使用される。この目的のために、ロードロックシステム310は、その中の圧力が調整されることができる一つ以上のチャンバーを備えている。ロードロックシステム310は、ポンプダウンおよび通気する動作の両方に適しているただ一つのチャンバーを備えていてよい。あるいは、システム310は、ポンプダウンと通気することための別々のチャンバーを備えている。ポンプダウン動作のために、システム310は、チャンバー内の圧力を低減圧力、たとえばリソグラフィ装置301へのクランプされた基板および基板支持体の搬送に適している真空にポンプダウンするためのポンプを備えている。通気する動作のために、ロードロックシステム310は、リソグラフィ装置301中のクランプされた基板の処理の後に、圧力を高めるためにチャンバーを通気するためのベントを備えている。
クランプすることおよび/またはアンクランプすることは、準備システム320の中におこなわれてよい。あるいは、クランプすることは、基板を準備システム320に提供する前に別の個所で、たとえば共通の供給システム315内でおこなわれてよい。また別の代案では、クランプすることおよび/またはアンクランプすることは、ロードロックシステム310内でおこなわれてよい。
クランプすることおよびアンクランプすることは、別々のユニットの中でおこなわれてよいが、同じユニットの中で実行されてもよい。以下において、表現「クランプするユニット」は、クランプおよび/またはアンクランプするためユニットをさす。
図4は、ポンプダウンのための第一のロードロックチャンバー310aと、通気するための第二のロードロックチャンバー310bと、多数の基板準備ユニット360a〜360dを含む準備システム320が設けられたリソグラフィシステムユニットを概略的に示している。この実施形態では、クランプは、準備システム320の中の適当な基板準備ユニット360a〜360dの中で形成され、それから、第一のロードロックチャンバー310aによって真空チャンバーに挿入される。リソグラフィ装置301による基板のパターン形成の後、クランプは、アンクランプするために第二のロードロックチャンバー310bによって準備システム320の中の適当な基板準備ユニット360a〜dに搬送され戻される。
図4の実施形態に示されるように、準備システム320はさらに、第一のロードロックチャンバー310aによってリソグラフィ装置301の中に入る前に基板を事前整列させるための事前整列ユニット370を有している。事前整列は、基板支持構造体上の基板の位置および/または方位が、リソグラフィ装置301内の正確な露光に適していることを保証するために必要されることがある。事前整列ユニット370中の事前整列の後、基板は、さらなる処理のための第一のロードロックチャンバー310aに提供される。
事前整列は、基板がクランプされる前に、個々の基板についておこなわれ得る。そのような場合、事前整列は、基板準備ユニット360a〜360dの内部でおこなわれてよく、それは、リソグラフィシステムユニットによって占有される空間を低減するであろう。基板が別々の事前整列ユニット370で事前整列される場合、基板は、好ましくは、基板支持構造体上にクランプされながら事前整列される。クランプされた基板の事前整列は、基板が基板支持構造体上にクランプされる際の必要精度を低減する。
準備システム320はさらに、一つ以上の追加ユニットを備えていてよい。たとえば、準備システム320は、リソグラフィ装置301中での露光に先立ってクランプされた基板および/またはアンクランプされた基板をコンディション調整するためのコンディション調整ユニットを有していることがある。コンディション調整ユニットは、この分野の当業者に知られているように、リソグラフィパターン形成の精度を改善するために、たとえば基板(と基板支持構造体)から熱エネルギーを除去することによる、クランプされたまたはアンクランプされた基板の熱コンディション調整のために配されていてよい。
基板および/またはクランプは、ロボット空間400内で動作するロボットを使用することによって、種々のユニットの間で搬送されてよい。図4の代表的実施形態では、ロボットは、実質鉛直方向に移動することができるキャリヤ401を備えている。したがって、そのようなロボットは、以下では、鉛直搬送ロボットまたはVTRと呼ばれる。キャリヤ401は、ロードロックチャンバー310a,310bと基板準備ユニット360a〜360dと事前整列ユニット370の間で基板および/またはクランプを適当に輸送するために配されている。さらに、ロボット401はさらに、基板搬送システム350で基板交換を取り扱うように配されていてよい。図4では、キャリヤ401は、それに基板405がクランプされた基板支持構造体403を備えているクランプを運ぶ。
リソグラフィシステムユニットはさらに、基板を一時的に保管するためのストレージユニット410を備えていてよい。保管された基板は、リソグラフィ装置301によってまだパターン形成される必要のある基板であってよい。代替的または付加的に、基板ストレージユニット410は、基板搬送システム350による搬送を待っているパターン形成された基板を保管するように配されてよい。図4に示される実施形態では、ストレージユニット410は、基板搬送システム350につながれている。代替的に、または付加的に、ストレージユニット410は、交換可能ユニットにつながれてよく、いわゆる前面開口一体ポッド(FOUP)の形を取っていてよい。FOUPは、(クリーン・ルーム)環境中の一つのFOUPの中のいくつかの基板の比較的安全な搬送を可能にする。また別の実施形態では、ストレージユニット410は、交換可能ユニット、たとえばFOUPである。
付加的に、図4は、リソグラフィ装置420の適切な動作を保証するために必要とされるエレクトロニクス420が、リソグラフィ装置301の上に置かれてよいことを概略的に示している。ちょうど図3bに示された実施形態のように、ドア330は、たとえば一つ以上の搬送要素345を備えている搬送ユニット340によって、真空チャンバーの外側の他のコンポーネントと一緒に取り外されることができる。
図4の種々のコンポーネントは互いの上に示されているけれども、コンポーネントの一つ以上が実質水平方向に互いに隣接して位置している代替実施形態もまた想像される。さらに、種々のコンポーネントの順序は異なっていてよい。
図4に示されていない、リソグラフィシステムの他の実施形態では、クランプすることおよび/またはアンクランプすることは、ロードロックシステム310内でおこなわれる。これらの動作を実行することができるロードロックシステム310は、本質的にやや複雑化されることを必要とする。
クランプする方法は、たとえば、本発明の所有者に譲渡された、参照によってそっくりそのままここに組み込まれる米国特許出願2010/0265486号に説明されるように、毛細管力を使用することによってクランプすることを有しているが、それに限定されない。真空を応用することによってクランプすること、基板を基板支持構造体に凍らせることによってクランプすること、電磁力の使用によってクランプすることは、いくつかの可能な選択肢である。クランプすることのタイプは、基板に使用されるその後の処理のタイプに依存してよい。
ロードロックシステム310a,310bは、リソグラフィシステム内の他のユニット、たとえば、準備システム320の中の一つ以上のユニット、たとえば、事前整列ユニット370、クランプ/アンクランプするユニット360、基板ストレージシステム410と共に、制御された圧力環境を構築するための一つ以上のバルブを備えていてよい。制御された圧力環境中に基板および/またはクランプを維持することは、低減された汚染環境が基板のまわりに維持されることを可能にする。制御された圧力環境は、中間の真空、大気圧とリソグラフィ装置301の高真空の間であってよい。この中間の真空は、大容積が高真空に維持されることを避けながら、汚染の低減を可能にする。特に、まだパターン形成されていない基板の場合に、中間の真空は、リソグラフィ装置の真空環境中の後の処理のための基板を準備することを助ける。
クランプおよび/またはアンクランプするユニットが、リソグラフィシステムユニット内に、たとえば図4に示されるように準備システム320内に、またはロードロックシステム310内に設けられているリソグラフィシステムは、局所化されたアンクランプされた基板供給または「局所化されたクラスター」をもつクラスター化されたリソグラフィシステム300と同一視されてよい。局所化されたクラスターでは、アンクランプされた基板は、それらを処理するリソグラフィ装置301のごく近接のエリアに輸送される。それから、基板は、基板支持構造体上にクランプされ、最終的に、クランプ、すなわち基板支持構造体上にクランプされた基板が、リソグラフィ装置301に提供される。多くはないコンポーネントが、種々のリソグラフィシステムユニットの間で共有されるので、リソグラフィシステムユニットの追加および/または取り外しは単に、せいぜい、基板が供給される手法に、調整がなされなければならないことを意味するとき、局所化されたクラスターは比較的容易に規模変更されることができる。
図5は、リソグラフィシステムユニットにおいて基板を処理するための動作フローを概略的に示している。基板の搬送は、基板ハンドリングロボットを使用して実施されてよく、図5は、搬送のシーケンスを作るためのロボットの軌道を示している。ロボットは、図4のキャリヤ401などのキャリヤを備えていてよい、および/または、その形を取っていてよい。図5において、基板搬送システムとロボットの間のインターフェースは「IF」によって示されている。さらに、代表的リソグラフィシステムユニットは、ストレージユニットSUと、第一の準備システムユニットPSU−1と、第二の準備システムユニットPSU−2と、リソグラフィ装置につながれたロードロックLLを備えている。
前述したように、インターフェースIFは、図4を参照して上に説明された基板搬送システム350とリソグラフィシステムユニットの間のインターフェースに相当してよい。ストレージユニットSUは、図4を参照して上に説明されたストレージユニット410に相当してよい。準備ユニットPSU−1とPSU−2は、たとえば、上に説明された基板準備ユニット360の二つを備えていてよい。最後に、ロードロックLLは、図4を参照して上に説明されたロードロックシステム310に相当してよい。あるいは、ロードロックLLは、ロードロックLLの中への一つよりも多くの基板のハンドリングを可能にする一つ以上のキャリヤを備えている一つのロードロックチャンバーを備えていてよい。ロボットが基板を実際に搬送する動作は、実線矢印によって表わされている。基板搬送を伴わないロボットの単なる動作は、破線矢印によって示されている。
図5の軌道は、インターフェースIFに位置しているロボットで始まる。最初の移動は、動作501におけるインターフェースIFから一時的保管のためのストレージユニットSUの方への露光される新しいアンクランプされた基板の搬送を内包している。動作501におけるそのような搬送に先立って、基板が、たとえば基板ノッチなどの方位の検出によって、比較的粗い手法で整列されてよいことに注意されたい。ストレージユニットSUの中への基板の配置の後、ロボットは、動作502において第一の準備システムユニットPSU−1の方へ移動する。準備システムユニットPSU−1において、ロボットは、露光されたアンクランプされた基板を取り出し、動作503においてこの基板をインターフェースIFの方へ搬送してリソグラフィシステムユニットからのそれの取り外しを可能にする。ロボットは、それから、動作504においてストレージユニットSUに移動して戻って、動作501の終わりにそこに置かれた露光のためのアンクランプされた基板を取り出す。動作505において、アンクランプされた基板が、ストレージユニットSUから取り出され、準備システムユニットPSU−1に搬送される。PSU−1の中へのアンクランプされた基板の配置の後、ロボットは、動作506において準備システムユニットPSU−2に移動する。それから、ロボットは、露光されるクランプされた基板を取り出し、動作507においてクランプされた基板をリソグラフィ装置における露光のためのロードロックLLに搬送する。ロードロックにおけるクランプされた基板の取り外しの後、ロボットは、露光されたクランプされた基板を取り出し、動作508においてこの基板を、アンクランプするための準備システムユニットPSU−2に搬送する。最後に、ロボットは、動作509において基板を運ばずにインターフェースIFに移動する。一連の動作501〜509は、「サイクルA」と呼ばれる。
図5の軌道は、それから、インターフェースIFにおいて動作511で継続し、それは動作501に似ている。しかしながら、露光される新しいアンクランプされた基板の配置の後、ロボットは、動作502におけるように準備システムユニットPSU−1に移動せずに、その代り、動作512において準備システムユニットPSU−2に移動する。続いて、動作513において、ロボットは、準備システムユニットPSU−2の中にある露光されたクランプされた基板を取り出し、この基板をインターフェースIFに搬送してリソグラフィシステムユニットからの基板の取り外しを可能にする。ロボットは、それから、動作504においておこなったのと同様のやり方で、動作514においてストレージユニットSUに移動する。ロボットは、それから、露光されるアンクランプされた基板をストレージユニットSUから取り出し、動作515においてこの基板を準備システムユニットPSU−2に搬送する。このアンクランプされた基板の配達の後、ロボットは、動作516において準備システムユニットPSU−1に移動し、露光されるクランプされた基板を取り出し、動作517においてクランプされた基板をリソグラフィ装置における露光のためのロードロックLLに搬送する。ロードロックにおけるクランプされた基板の取り外しの後、ロボットは、露光されたクランプされた基板を取り出し、動作518においてこの基板を、アンクランプするための準備システムユニットPSU−1に搬送する。最後に、ロボットは、動作519において基板を運ばずにインターフェースIFに移動する。一連の動作511〜519は、「サイクルB」と呼ばれる。
ロボットは、いま、図5の軌道を繰り返してよく、続くサイクルAとサイクルBの間で変わることを実質的に意味しており、二つのサイクル間の違いが、準備システムユニットPSU−1と準備システムユニットPSU−2の役割である。図5に示された軌道は、準備システムユニットの中においてクランプする動作が、全サイクルの期間よりも多くの時間を要する場合に、基板の連続的流れを保証するのに特に有用である。
限定されたサイズのリソグラフィシステムを有している望みを考慮して、リソグラフィシステムユニット内のコンポーネントのストレージ容量は、好ましくは、限定される。特に、PSU−1とPSU−2は、一般に、ただ一つの基板の準備を容易にすることを可能にするだけである。同様に、ストレージユニットSUは、好ましくは、ただ一つの基板を保管する。ロードロックLLは、好ましくは、対応する基板支持構造体にクランプされた二つの基板を保管することが可能である。ロードロックLLの中に二つのクランプされた基板を収容する可能性は、先に処理された基板を最初に取り外す必要性なしで、ロードロックLLの中へのクランプされた基板の配置を可能にする。ロードロックLLは、ただ一つのロードロックチャンバーを備えていてよい。あるいは、たとえば図4を参照して説明されたように、ロードロックLLは、一つよりも多くのロードロックチャンバーを備えている。このマルチプルチャンバーの実施形態では、各ロードロックチャンバーは、好ましくは、基板支持構造体上にクランプされたただ一つの基板を収容するように配されている。
ただ一つの基板だけがストレージユニットSUと準備システムユニットPSU−1と準備システムユニットPSU−2の中に保管される場合、下記は、図5を参照して説明されたような軌道に続いて処理されるウェーハNに対して述べられることがある。ウェーハNは、必要に応じて、インターフェースIFにおけるアラインメント手続きの結果としてウェーハの方位が変えられた後に、動作501においてインターフェースIFからストレージユニットSUに搬送されるであろう。ウェーハNは、それから、動作505において第一の準備システムユニットPSU−1に搬送される。ただ一つのウェーハの収容能力をもつストレージユニットSUの使用の場合、したがって、そのとき、ストレージユニットSUは空であるであろう。ウェーハNは、それから、クランプされ、クランプされた基板は、それから、動作517にしたがってロードロックLLに搬送される。クランプすることに加えて、他の動作がまた、準備システムユニットPSU−1の中でおこなわれてよい。たとえば、特にウェーハNがクランプされる基板支持構造体に対するウェーハNの方位に対する比較的細かいアラインメントが、クランプすることに先立って、短期間、実行されてよい。ロードロックLLによって、ウェーハNは、リソグラフィ露光のためのリソグラフィ装置の中に搬送される。リソグラフィ装置内では、一つ以上のさらなる動作が、露光に先立っておこなわれてよい。そのような動作は、整列マーク測定、ビーム位置決め測定、ビーム電流測定などの一つ以上の測定を含んでいてよい。そのような測定に関係する動作は、これらに限定されないが、焦点面センサーへのウェーハNの移動、x,y,z,Rx,Ry,Rzなどの種々の方向のグローバルな方位測定、ウェーハN上の場まわりの走査マーク、整列センサーのナイフエッジ整列マークなどのマークへのウェーハNの移動、ビーム位置決めセンサーのウェーハNの移動を含んでいてよい。露光の後、ウェーハNは、ロードロックチャンバーLLに搬送され戻され、ロボットによって取り外され、使用されている準備システムユニットに依存する動作508または動作518に対応するアンクランプするための準備システムユニットに搬送される。最後に、基板搬送システムによるリソグラフィシステムユニットからの処理されたウェーハNの取り外しを可能にするために、ウェーハNは、動作509または動作519においてインターフェースに移動される。
上に説明されたシナリオでは、ウェーハNの後に処理されるウェーハ、すなわちウェーハN+1が、ロボットが動作511においてウェーハN+1をインターフェースIFからストレージユニットSUに搬送する結果として、ストレージユニットSUの中のウェーハNによって空けられた場所を占有する。基板は、それから、動作515において準備システムユニットPSU−2に移動される。準備の後、ウェーハN+1はロードロックLLに搬送される。好ましくは、この時点で、ウェーハNもロードロックLLの中に存在し、ロードロックから取り外され、動作508においてロボットによって準備システムユニットPSU−2に搬送される準備が整っている。そのようなシナリオでは、したがって、ウェーハNは、ウェーハN+1によって準備システムユニットPSU−2の中のウェーハN+1によって先に占有されていた場所を取るであろう。
上に説明されたシナリオでは、ウェーハNに先立って処理されるウェーハ、すなわちウェーハN−1は、動作517の結果としてウェーハNがロードロックLLに置かれたとき、その中に存在するウェーハである。ウェーハN−1は、それから、ロードロックLLから取り外され、動作518において基板準備ユニットPSU−1に搬送されてウェーハNによって前に占有されていた場所を取る。
図6は、リソグラフィシステム300の斜視図を示している。そのようなリソグラフィシステム300において、すべてのコンポーネントは、適切なハウジングまたはケーシング600によって外部環境から保護されていてよい。ハウジング600は、リソグラフィシステム300内のコンポーネントのメンテナンス、修理および運転調整を容易にするために、取り外し可能な部分を有しているか、そっくりそのまま取り外し可能であってよい。ハウジング600には、オペレーターがリソグラフィシステム300内のパラメータを監視および/または調整することを可能にする一つ以上のインターフェースが設けられていてよい。インターフェースは、これらの目的のためのディスプレイ610および/またはキーボード620を備えていてよい。
図7は、そのカバーの一部分が取り除かれた図6のクラスター化されたリソグラフィシステムの一部分を示している。図7は、五つのリソグラフィシステムユニットについて基板の搬送および準備に使用される要素を示している。基板は、以下では水平搬送ロボットまたはHTR650と呼ばれる、実質的に水平方向で移動する搬送ロボット650を備えている基板搬送システム350によって提供される。HTR650は、処理される基板をリソグラフィシステムユニットの方へ搬送するように、また、処理された基板をリソグラフィシステムユニットから遠ざけて搬送するように配されている。基板搬送システム350とリソグラフィシステムユニットの間の基板の交換は、インターフェース640によっておこなわれる。
各リソグラフィシステムユニットにはさらに、少なくとも二つの基板またはクランプの調節のための配された、少なくとも二つの基板準備ユニット360と、ストレージユニット410と、ロードロック310が設けられている。リソグラフィシステムユニットはさらに、基板および/またはクランプを種々のユニットの間で、たとえば図5を参照して論じられたような軌道に乗せて移動させるためのキャリヤ401を有している。キャリヤ401は実質鉛直方向に移動するので、以下ではキャリヤは鉛直搬送ロボットまたはVTR401と呼ばれ得る。
図8a,8bは、基板搬送の異なる段階にある基板搬送システム350とリソグラフィシステムユニットの間のインターフェース640のより詳細図を提供している。インターフェース640は、開口642が設けられた上面壁が設けられたチャンバー641を備えており、開口は十分に大きく、基板405が開口642を通って搬送されることを可能にする。チャンバー641はさらに、支持表面643と、少なくとも三つの伸張可能ピン644を有している。少なくとも三つの伸張可能ピン644は、支持表面643に配置されており、実質鉛直方向に移動することができる。ピン644は、それらが基板405を安定した手法で支持することができるような方法で互いに対して置かれている。さらに、ピン644は、これらのロボットがピン644によって妨害されることなく基板405を搬送するように、HTR650とVTR401と干渉しないような方法で配置されている。
HTR650は、ガイドレール652に沿って移動することができる本体651を備えている。本体651には、一つ以上の延長部分または「フィンガー」が設けられていてよい二つの対向支持ユニット653が設けられている。二つの対向支持ユニット653は、基板405を安定位置に保持するために配されている。HTR650は、そのコンポーネントがピン644と干渉しないけれども、開口641のエッジに配置されていてHTR650とリソグラフィシステムユニットの間の基板搬送を可能にするように構成されている。
リソグラフィシステムユニットへの基板405の提供は次のやり方でおこなわれてよい。最初に、HTR650に基板405が、支持ユニット653の上面に支えられて提供される。HTR650は、それから、基板405が開口461の上方に配置されるまで、ガイドレール652に沿った実質水平方向の本体651の移動によって基板405を搬送する。HTR650はさまざまな形を取ることができ、また、HTR650を移動させる手段は、図8a,8bに描かれたやり方とかなり異なっていてよいことが理解されるであろう。続いて、ピン644が、基板405と係合するまで、穴を通って上方に移動する。その時点において、ピン644は、さらに上方にいくらか移動して、HTR650の支持ユニット653から基板405を持ち上げる。HTR650は、それから、図8bに描かれたように、開口641から遠ざけて移動される。最後に、基板405がインターフェースチャンバー461に入るようにピン644が下げられる。ピン644の端位置は、リソグラフィシステムユニットにおいて使用されるVTR401の特定のサイズおよび形によって決定される。リソグラフィシステムユニットからの基板405の取り外しは、上に説明された動作を逆の順序でおこなうことによっておこなわれてよい。
図9a,9bは、本発明の実施形態によるキャリヤ401を概略的に示している。キャリヤ401は、レール683に沿って移動されることができるベース681aを備えているロボットアームに設けられた本体680を備えており、そのレールは実質鉛直方向に適応されている。ロボットアーム681はさらに、異なるセクション681b,681cを備えており、それらは、アームが、二次元平面、一般に実質水平面内で基板を並進移動および回転させることを可能にする。本体680には、基板405を運ぶための少なくとも二つの拡張部分またはフィンガー684a,684bが設けられている。加えて、本体680には、それに基板405がクランプされてよい基板支持構造体403を運ぶための少なくとも二つのさらなる拡張部分またはフィンガー685a,685bが設けられていてよい。好ましくは、基板403を運ぶためのフィンガー684a,684bは、フィンガー685a,685bよりも低いレベルに配置されている。好ましくは、高さの差は、フィンガー684a,684bが、フィンガー685a,695bの運ぶ性能を妨げないことを保証するために、基板支持構造体403の厚さを超えている。最適設計では、フィンガー684a,684bは、クランプがキャリヤ401によって搬送されている場合に、追加的支持を提供し得る。
フィンガー684a,684bは、好ましくは、単一方向に延びており、すなわち、それらは、ストレートバーの形を取っている。最も好ましくは、フィンガー684a,684bは、互いに実質的に平行な方向に延びている。フィンガー685a,685bは、好ましくは、アーチまたは三日月形状を有しており、フィンガー685a,685bの端は互いに対向している。両方のフィンガー684a,684bおよび685a,685bは、それらが支持するように設計された構造体の中間よりも多く下方に延びるのに十分に長い長さを有している。円形形状の場合、したがって、そのような長さは、運ばれる構造体の半径を超えているべきである。
VTR401は、図8a,8bを参照して論じられたようにインターフェースチャンバー641から基板を取り、基板405を基板準備ユニット360またはストレージユニット410に搬送する。後者の場合、破線矢印によって図10に描かれているように、VTR401は、基板支持構造体にクランプすることを可能にし、また、他の適切な準備動作をおこなうために、基板405を基板ユニット410から基板準備ユニット360に搬送する。ストレージユニット410は、支持表面411を備えており、実質鉛直方向に延ばされ得るピン414を有していてよい。基板の挿入または取り外しの場合には、ピン414が適当に延ばされて、基板405を支持するフィンガーに684a,684bがピン端よりも低い高さにおいて少なくともピン414のいくつかを越えてスライドすることを可能にする。フィンガー684a,684bが正しい位置にあるとき、すなわち、フィンガー684a,684bによって支持された基板405がピン414の上方に適切に置かれるような挿入に先立って、また、ピン414によって支持された基板405の下方にフィンガー648a,684bが適切に置かれるような取り外しに先立って、ピン414は、ピン414とフィンガー684a,684bの間の基板405からの搬送を可能にするように移動する。
挿入の場合には、ピン414は、それから、基板405と十分な接触状態になるまで、上方に移動する。その段階において、基板405をVTR401から引き離し、基板405の支持がピン414によって完全に取って代わられることを可能にするために、ピン414が上方にさらにいくらか移動するか、VTR401が下方に移動されるかする。十分な引き離しの後、VTR401は、ストレージユニット410から引っ込められる。
基板の取り外しの場合には、VTR401のフィンガー684a,684bが基板405と十分な接触状態になるまで、ピン414が下方に移動する。その段階において、基板405をピン414から引き離し、基板405の支持がVTR401によって完全に取って代わられることを可能にするために、VTRが上方に移動するか、ピン414が下方に移動されるかする。十分な引き離しの後、VTR401は、ストレージユニット410から引っ込められる。
図11は、それに基板405がクランプされる基板支持構造体403が置かれた基板準備ユニット360を示している。基板は、図10を参照して論じられたピン414と同様のやり方で動作するピン364の上に支持されている。好ましくは、基板支持構造体403には、もしそのようなノッチ361がなかったならば形成されたであろう基板支持構造体403の実質円形円周内へのピン364の収容を可能にするノッチ361が設けられている。ノッチ361の使用は、基板支持構造体403とピン364の組み合わせ体によって占有される空間を限定する。さらに、ピン364がノッチ361を通って延びることを可能にすることによって、基板405は、基板支持構造体403にクランプされたときに、クランプ品質を改善し得る大面積にわたって支持構造物403と接触する。最後に、基板支持構造体のノッチの使用は、粗い事前整列のある形を可能にし得る。
クランプする方法は、たとえば、本発明の所有者に譲渡された、参照によってそっくりそのままここに組み込まれる米国特許出願2010/0265486号に説明されるように、毛細管力を使用することによってクランプすることを有しているが、それに限定されない。真空を応用することによってクランプすること、基板405を基板支持構造体403に凍らせることによってクランプすること、電磁力の使用によってクランプすることは、いくつかの可能な選択肢である。クランプすることのタイプは、基板405に使用されるその後の処理のタイプに依存してよい。たとえば毛細管力を使用することによってクランプする場合における流体の供給は、または、真空を応用することによってクランプする場合における空気の除去は、一つ以上のチューブ365によって実行されてよい。基板405を受けるための基板支持構造体403の表面には、クランプ処理を高めるために、溝および/または他の上昇構造体たとえばこぶのパターンが設けられていてよい。
基板支持構造体403はさらに、多数の突起またはリップ362が設けられている。これらのリップ362は、基板支持構造体403の円周に沿って配置されている。リップ362は、VTR401のフィンガー685a,685bと係合するために使用される。図11では、リップ362は、それに基板405がクランプされる基板支持構造体403の表面に近い高さレベルに配置されている。搬送のあいだの安定性を高めるため、リップ362は、好ましくは、基板支持構造体403の質量中心の上方に、好ましくはまた、基板支持構造体403とそれにクランプされた基板405との組み合わせ体の質量中心の上方に配置されている。いくつかの実施形態では、別のリップ362が、VTR401の本体680と係合するために使用されてよい。
図11に示される実施形態などのいくつかの実施形態では、基板支持構造体403には、さらなる突起またはリップ363,366が設けられている。少なくとも二つのリップ366(一つのリップだけが図11に描かれている)が、リップ362と同じ高さレベルに設けられている。リップ633は、より低い高さレベルに設けられている。以下で論じられる実施形態では、これらのリップ363,366は、ロードロックシステム310の中のハンドリングロボットによって使用される。
好ましくは、VTRフィンガー685a,685aと係合するために使用されるリップ362は、基板支持構造体403の一方の側に沿って配置されており、その側は、VTR本体680から離れて面している側である。そのような設備は、搬送のあいだの傾斜やひっくり返りの危険を低減する。
少なくとも二つのリップ366と少なくとも一つのリップ363を使用している実施形態では、少なくとも二つのリップ366は、好ましくは、VTRフィンガーで685a,685bと係合するために使用されるリップ362の間に配置されている。少なくとも一つのリップ363は、VTR本体680に面している側に配置されている。
図12は、ロードロックシステム310において使用されるクランプされた基板ハンドリングロボットを概略的に示している。ハンドリングロボットは、処理されるクランプされた基板を通過710を通ってVTR401から受け取り、そのクランプされた基板をドア330の通過705を通ってリソグラフィ装置の方へ搬送する。同様に、ハンドリングロボットは、処理されたクランプされた基板を通過705を通ってリソグラフィ装置から受け取り、通過710を通って入っているVTR401に基板を受け渡す。
ハンドリングロボットは、ロボットアームに設けられた本体701を備えている。本体701には、それに基板405がクランプされた基板支持構造体403を運ぶための少なくとも二つの拡張部分またはフィンガー702a,702bが設けられている。好ましくは、フィンガー702a,702bは、アーチまたは三日月形状を有しており、それらが支持するように設計された構造体の中間よりも多く下方に延びるのに十分に長い長さを有している。フィンガー702aは、フィンガー702bよりも、異なるすなわちより高い高さレベルを有している。高さレベルのこの差の理由が、図13bを参照して論じられる。
図13aは、基板準備ユニット360からロードロックシステム310の方へのクランプされた基板の搬送を示している。ロードロックシステム310は、二つのハンドリング本体701a,701bが互いの上方に取り付けられているロボットアーム720を備えているクランプされた基板ハンドリングロボットを備えている。
図13bは、上側ハンドリング本体701aへのクランプされた基板の配達直後の時刻におけるロードロックシステム310のより詳細図を示している。図13bには、単に、ロボットアーム720の一部分、すなわち、上側ハンドリング本体701aに関する一部分が示されている。ロボットアーム720は、レール721cに沿って移動されることができるベース721aを備えており、そのレール721cは、実質鉛直方向に方位付けられている。ロボットアーム720はさらに、フィンガー702a,702bによって二次元平面中に保持されているクランプをアームが並進移動および回転させることを可能にする、ベース721aと本体701aに連結された別のセクション721bを備えている。
図13bに示された実施形態では、基板支持構造体403には、VTR本体680から離れて面している基板支持構造体403の側(図13bの左側)に沿って配置されたVTRフィンガーで685a,685bと係合するために使用されたリップ362が設けられている。さらに、基板支持構造体403の反対側に配置された追加リップ362は、VTR本体680と係合するために使用された。さらに、二つのリップ366(一つだけが示されている)は、ハンドリングロボットの上側本体701aから延びている上側フィンガー702aと係合するために使用され、リップ363は、上側本体701aが基板支持構造体403を独立して運ぶことができるように、ハンドリングロボットの上側本体701aから延びている下側フィンガー702bと係合するために使用される。互いに対する(すなわち、ある角度にある)二つのセットのフィンガー702a,702bおよび685a,685bの異なる方位と組み合わさったフィンガー702a,702bの位置は、両方のセットのフィンガーが、互いに干渉することなく、支持構造体を同時に保持することを可能にする。その結果、一方のセットのフィンガーが引っ込められたならば、基板支持構造体403は他方のセットのフィンガーによって保持される。それぞれのハンドリングロボット、すなわちVTR401と、ロードロックシステム310の中のクランプされた基板ハンドリングロボットの設計は、基板支持構造体403を直に受け渡すことを可能にする。そのような受け渡しは、基板支持構造体搬送のために必要とされる空間を低減し、それは、リソグラフィシステムユニットのサイズを可能な限り小さく維持するのを助ける。
図14a,14bは、VTR401によるロードロックシステム310から基板準備ユニット360の方への処理されたクランプされた基板の搬送(破線参照)を概略的に示している。図14aでは、VTR401は、ハンドリングロボットの下側ハンドリング本体701bとの受け渡し後のクランプされた基板を取り出す。図14bでは、VTR401は、アンクランプするための基板準備ユニット360の中にクランプされた基板を置く。
ロードロックシステム310の中に残された空いた空間は、いま、図15aに示されるようにリソグラフィ装置から受け取られる処理されたクランプによって占有されてよい。最近入れられた処理されるクランプされた基板(図13b参照)は、それから、図15bに示されるように処理するためのリソグラフィ装置の中に挿入されてよい。
あるいは、(上側本体701aによって保持された)処理されるクランプされた基板は、処理されたクランプされた基板の取り外しの後にリソグラフィ装置の中に入れられてよい。そのような場合、下側本体701bは、処理される新しいクランプされた基板がVTR401によって提供されるまで、またはリソグラフィ装置に最近入れられたクランプされた基板が処理されるまで、いかなるクランプされた基板も保持しなくてよい。
本発明のいくつかの実施形態が、十個のリソグラフィシステムユニットを備えているリソグラフィシステムを参照して説明されたが、リソグラフィシステム内のリソグラフィシステムユニットの数は変更されてよい。たとえば、十個のリソグラフィシステムユニットの代わりに、一つよりも多くの任意の個数のリソグラフィシステムユニットが使用されてよい。
本発明は、上に論じられたある実施形態を参照して説明された。これらの実施形態は、本発明の真意および範囲から逸脱することなく、この分野の当業者によく知られているさまざまな修正および代替形態が可能であることが認められるであろう。したがって、特定の実施形態が説明されたけれども、これらは単なる例であり、本発明の範囲を限定するものではなく、それは、添付の請求の範囲において規定される。
以下に、本願出願の当初の特許請求の範囲に記載された発明を付記する。
[1]リソグラフィシステム(300)のリソグラフィシステムユニット(301)において複数の基板(405)を処理する方法であり、前記リソグラフィシステムユニットは、少なくとも二つの基板準備ユニット(360)と、少なくとも第一および第二の基板位置を備えているロードロックユニット(310)と、前記基板準備ユニットと前記ロードロックユニットの間で基板を搬送するための基板ハンドリングロボット(401)を備えており、前記方法は、
N番目基板と、前記N番目基板のすぐ前のN−1番目基板と、前記N番目基板のすぐ後のN+1番目基板を含む、露光される一連の基板(405)を前記ロボットに提供することと、
前記N番目基板(505)を前記ロボットによって前記基板準備ユニットの第一の一つに搬送することと、
前記N番目基板を前記第一の基板準備ユニットの第一の基板支持構造体(403)にクランプすることを有しており、前記N番目基板と前記第一の基板支持構造体は一緒にクランプされたN番目基板を形成し、また、
前記クランプされたN番目基板(517)を前記ロボットによって前記第一の基板準備ユニットから前記リソグラフィシステムユニットにおける露光のためのロードロックユニットの第一および第二の位置の占有されていない一つに搬送することと、
前記リソグラフィシステムユニットにおいて前記クランプされたN番目基板を露光することを有している、方法。
[2]前記クランプされたN番目基板は、前記リソグラフィシステムユニットにおける前記N−1番目基板の露光が完了される前に、前記ロードロックユニットに搬送される(517)、[1]の方法。
[3]前記N+1番目基板(515)を前記ロボットによって前記基板準備ユニットの第二のものに搬送することと、
前記N+1番目基板を前記第二の基板準備ユニットの第二の基板支持構造体にクランプすることをさらに有しており、前記N+1番目基板と前記第二の基板支持構造体は一緒にクランプされたN+1番目基板を形成し、また、
前記クランプされたN+1番目基板(507)を前記ロボットによって前記第二の基板準備ユニットから前記リソグラフィシステムユニットにおける露光のためのロードロックユニットの第一および第二の位置の占有されていない一つに搬送することをさらに有している、[1]または[2]の方法。
[4]前記クランプされたN+1番目基板は、前記リソグラフィシステムユニットにおける前記N番目基板の露光が完了される前に、前記ロードロックユニットに搬送される(507)、[3]の方法。
[5]前記露光されたクランプされたN番目基板を、前記ロボットによって、前記クランプされたN+1番目基板によって占有されたのとは違う前記ロードロックの前記第一および第二の位置の別の一つから前記第二の基板準備ユニットに搬送すること(508)と、
前記露光されたN番目基板を前記第二の基板準備ユニットの前記第一の基板支持構造体から切り離すことと、
前記リソグラフィシステムユニットからの取り外しのために前記露光されたN番目基板(513)を前記ロボットによって前記第二の基板準備ユニットから搬送することをさらに有しており、
前記クランプされたN+1番目基板は、前記露光されたクランプされたN番目基板が前記ロードロックユニットから搬送される(508)前に、前記ロードロックユニットに搬送される(507)、[1]〜[4]のいずれか一つに記載の方法。
[6]前記リソグラフィシステムユニットはさらに、基板ストレージユニット(410)を備えており、
前記方法はさらに、前記N番目基板(501)を前記ロボットによって前記基板ストレージユニットに搬送することを有しており、
前記N番目基板(505)を前記基板準備ユニットの第一の一つに搬送することは、前記N番目基板(505)を前記ロボットによって前記基板ストレージユニットから前記基板準備ユニットの第一の一つに搬送することを有している、[1]〜[5]のいずれか一つに記載の方法。
[7]前記リソグラフィシステムユニットはさらに、基板インターフェースユニット(640)を備えており、前記インターフェースユニットは、基板配達ロボットと前記基板ハンドリングロボットの間の前記基板の搬送のために配されており、
前記N番目基板(501)を前記基板ストレージユニットに搬送することは、前記N番目基板(501)を前記ロボットによって前記インターフェースユニットから前記基板ストレージユニットに搬送することを有しており、
前記リソグラフィシステムユニットからの取り外しのために前記露光されたN番目基板(513)を搬送することは、前記リソグラフィシステムユニットからの取り外しのために前記露光されたN番目基板(513)を前記ロボットによって前記第二の基板準備ユニットから前記インターフェースユニットに搬送することを有している、[6]の方法。
[8]前記リソグラフィシステムはさらに、前記基板を受け取り、それらを前記インターフェースユニットに水平に搬送するために、また、露光された基板を前記インターフェースユニットから搬送し、前記リソグラフィシステムユニットからの取り外しのためにそれらを水平に搬送するために配された水平搬送ロボットを備えており、前記方法はさらに、
前記N番目基板(501)を前記基板ストレージユニットに搬送する前に、前記N番目基板を前記水平搬送ロボットによって前記インターフェースユニットに搬送することと、
前記露光されたN番目基板を前記インターフェースユニットに前記基板ハンドリングロボットによって搬送した後に、前記露光されたN番目基板を前記インターフェースユニットから前記水平搬送ロボットによって搬送することを有している、[7]の方法。
[9]前記第一および第二の基板準備ユニットと、前記ロードロックユニットの前記第一および第二の基板位置は、互いに対して鉛直に配されており、前記基板ハンドリングロボットは、前記基板準備ユニットと前記ロードロックユニットの前記第一および第二の基板位置の間で、前記基板を鉛直に搬送するために配されており、前記方法の前記搬送ステップは、前記基板ハンドリングロボットによる鉛直搬送を有している、[1]〜[8]のいずれか一つに記載の方法。
[10]前記リソグラフィシステムユニットはさらに、基板ストレージユニットとインターフェースユニットを備えており、前記第一および第二の基板準備ユニットと、前記ロードロックユニットの前記第一および第二の基板位置と、前記ストレージシステムと、前記インターフェースユニットは、互いに対して垂直に配されており、前記基板ハンドリングロボットは、前記基板準備ユニットと、前記ロードロックユニットの前記第一および第二の基板位置と、前記ストレージシステムと、前記インターフェースユニットの間で、前記基板を鉛直に搬送するために配されている、[1]〜[9]のいずれか一つに記載の方法。
[11]前に第三の基板支持構造体にクランプされ、前記リソグラフィ装置において露光された前記露光されたクランプされたN−1番目基板(518)を、前記ロボットによって、前記クランプされたN番目基板によって占有されたのとは違う前記ロードロックの前記第一および第二の位置の別の一つから前記第一の基板準備ユニットに搬送することと、
前記露光されたN−1番目基板を前記第一の基板準備ユニットの第三の基板支持構造体から切り離すことと、
前記リソグラフィシステムユニットからの取り外しのための前記露光されたN−1番目基板(503)を前記ロボットによって前記第一の基板準備ユニットから搬送することをさらに有しており、
前記クランプされたN番目基板は、前記リソグラフィ装置における前記クランプされたN−1番目基板の露光が完了される前に、前記ロードロックユニットに搬送される(517)、[1]〜[10]のいずれか一つに記載の方法。
[12]前記基板のおのおのを前記基板支持構造体の一つにクランプするのに先立って、前記基板準備ユニットの一つの所定の方位に向けて前記基板を事前整列させることを有している、[1]〜[11]のいずれか一つに記載の方法。
[13]前記基板をクランプするための前記基板準備ユニットの一つへの搬送の前に、所定の方位に向けた前記基板のおのおのの粗い事前整列をさらに有している、[1]〜[12]のいずれか一つに記載の方法。
[14]前記基板のおのおのを前記基板支持構造体の一つにクランプするのに先立って、前記基板支持構造体から熱的エネルギーを除去することによる前記基板支持構造体の熱的コンディション調整をさらに有している、[1]〜[13]のいずれか一つに記載の方法。
[15]前記ロードロックは、ロードロックロボット(720)を備えており、前記方法はさらに、
前記ロードロックユニットの前記第一および第二の位置の占有されていない一つへの前記クランプされたN番目基板の搬送の後にロードロックユニットをポンプ引きすることと、
前記露光されたクランプされたN−1番目基板を、前記ロードロックロボットアームによって、前記リソグラフィシステムユニットから、ポンプ引きされたロードロックユニットの前記第一および第二の位置の占有されていない一つに搬送することと、
前記クランプされたN番目基板を、前記ロードロックロボットによって、前記ポンプ引きされたロードロックから前記リソグラフィシステムユニットの中に搬送することと、
前記露光されたクランプされたN−1番目基板を前記第一の基板準備ユニットに搬送する前に、前記ロードロックユニットを通気することをさらに有している、[1]〜[14]のいずれか一つに記載の方法。
[16]前記ポンプ引きされたロードロックユニットの前記第一および第二の位置は、互いに対して鉛直に配されており、前記ロードロックロボット(720)は、前記基板を前記第一の位置からおよびその位置に搬送するために配された上側ハンドリング本体(701a)と、前記基板を第二の位置からおよびその位置に搬送するために配された下側ハンドリング本体(701b)を備えている、[1]〜[15]のいずれか一つに記載の方法。
米国特許第6,897,458号 米国特許第6,958,804号 米国特許第7,019,908号 米国特許第7,084,414号 米国特許第7,129,502号 米国特許出願公開2007/0064213号、 米国特許出願公開2010/0265486号

Claims (15)

  1. リソグラフィシステム(300)のリソグラフィシステムユニット(301)において複数の基板(405)を処理する方法であり、前記リソグラフィシステムユニットは、少なくとも二つの基板準備ユニット(360)と、少なくとも第一および第二の基板位置を備えているロードロックユニット(310)と、前記基板準備ユニットと前記ロードロックユニットの間で基板を搬送するための基板ハンドリングロボット(401)を備えており、前記方法は、
    N番目基板と、前記N番目基板のすぐ前のN−1番目基板と、前記N番目基板のすぐ後のN+1番目基板を含む、露光される一連の基板(405)を前記ロボットに提供することを有しており、ここで、前記N−1番目基板は前記N番目基板の前に処理される基板であり、前記N+1番目基板は前記N番目基板の後に処理される基板であり、さらに
    前記N番目基板前記ロボットによって前記基板準備ユニットの第一の一つに搬送する(505)ことと、
    前記N番目基板を前記第一の基板準備ユニットの第一の基板支持構造体(403)にクランプすることを有しており、前記N番目基板と前記第一の基板支持構造体は一緒にクランプされたN番目基板を形成し、また、
    前記クランプされたN番目基板前記ロボットによって前記第一の基板準備ユニットから前記リソグラフィシステムユニットにおける露光のためのロードロックユニットの第一および第二の位置の占有されていない一つに搬送する(517)ことと、
    前記リソグラフィシステムユニットにおいて前記クランプされたN番目基板を露光することを有している、方法。
  2. 前記クランプされたN番目基板は、前記リソグラフィシステムユニットにおける前記N−1番目基板の露光が完了される前に、前記ロードロックユニットに搬送される(517)、請求項1の方法。
  3. 前記N+1番目基板前記ロボットによって前記基板準備ユニットの第二のものに搬送する(515)ことと、
    前記N+1番目基板を前記第二の基板準備ユニットの第二の基板支持構造体にクランプすることをさらに有しており、前記N+1番目基板と前記第二の基板支持構造体は一緒にクランプされたN+1番目基板を形成し、また、
    前記クランプされたN+1番目基板前記ロボットによって前記第二の基板準備ユニットから前記リソグラフィシステムユニットにおける露光のためのロードロックユニットの第一および第二の位置の占有されていない一つに搬送する(507)ことをさらに有している、請求項1または2の方法。
  4. 前記クランプされたN+1番目基板は、前記リソグラフィシステムユニットにおける前記N番目基板の露光が完了される前に、前記ロードロックユニットに搬送される(507)、請求項3の方法。
  5. 前記露光されたクランプされたN番目基板を、前記ロボットによって、前記クランプされたN+1番目基板によって占有されたのとは違う前記ロードロックの前記第一および第二の位置の別の一つから前記第二の基板準備ユニットに搬送する(508)こと
    前記露光されたN番目基板を前記第二の基板準備ユニットの前記第一の基板支持構造体から切り離すことと、
    前記リソグラフィシステムユニットからの取り外しのために前記露光されたN番目基板前記ロボットによって前記第二の基板準備ユニットから搬送する(513)ことをさらに有しており、
    前記クランプされたN+1番目基板は、前記露光されたクランプされたN番目基板が前記ロードロックユニットから搬送される(508)前に、前記ロードロックユニットに搬送される(507)、請求項1〜4のいずれか一つに記載の方法。
  6. 前記リソグラフィシステムユニットはさらに、基板ストレージユニット(410)を備えており、
    前記方法はさらに、前記N番目基板前記ロボットによって前記基板ストレージユニットに搬送する(501)ことを有しており、
    前記N番目基板前記基板準備ユニットの第一の一つに搬送する(505)ことは、前記N番目基板前記ロボットによって前記基板ストレージユニットから前記基板準備ユニットの第一の一つに搬送する(505)ことを有している、請求項1〜5のいずれか一つに記載の方法。
  7. 前記リソグラフィシステムユニットはさらに、基板インターフェースユニット(640)を備えており、前記インターフェースユニットは、基板配達ロボットと前記基板ハンドリングロボットの間の前記基板の搬送のために配されており、
    前記N番目基板前記基板ストレージユニットに搬送する(501)ことは、前記N番目基板前記ロボットによって前記インターフェースユニットから前記基板ストレージユニットに搬送する(501)ことを有しており、
    前記リソグラフィシステムユニットからの取り外しのために前記露光されたN番目基板搬送する(513)ことは、前記リソグラフィシステムユニットからの取り外しのために前記露光されたN番目基板前記ロボットによって前記第二の基板準備ユニットから前記インターフェースユニットに搬送する(513)ことを有している、請求項6の方法。
  8. 前記リソグラフィシステムはさらに、前記基板を受け取り、それらを前記インターフェースユニットに水平に搬送するために、また、露光された基板を前記インターフェースユニットから搬送し、前記リソグラフィシステムユニットからの取り外しのためにそれらを水平に搬送するために配された水平搬送ロボットを備えており、前記方法はさらに、
    前記N番目基板前記基板ストレージユニットに搬送する(501)前に、前記N番目基板を前記水平搬送ロボットによって前記インターフェースユニットに搬送することと、
    前記露光されたN番目基板を前記インターフェースユニットに前記基板ハンドリングロボットによって搬送した後に、前記露光されたN番目基板を前記インターフェースユニットから前記水平搬送ロボットによって搬送することを有している、請求項7の方法。
  9. 前記第一および第二の基板準備ユニットと、前記ロードロックユニットの前記第一および第二の基板位置は、互いに対して鉛直に配されており、前記基板ハンドリングロボットは、前記基板準備ユニットと前記ロードロックユニットの前記第一および第二の基板位置の間で、前記基板を鉛直に搬送するために配されており、前記方法の前記搬送ステップは、前記基板ハンドリングロボットによる鉛直搬送を有している、請求項1〜8のいずれか一つに記載の方法。
  10. 前記リソグラフィシステムユニットはさらに、基板ストレージユニットとインターフェースユニットを備えており、前記第一および第二の基板準備ユニットと、前記ロードロックユニットの前記第一および第二の基板位置と、前記基板ストレージユニットと、前記インターフェースユニットは、互いに対して垂直に配されており、前記基板ハンドリングロボットは、前記基板準備ユニットと、前記ロードロックユニットの前記第一および第二の基板位置と、前記基板ストレージユニットと、前記インターフェースユニットの間で、前記基板を鉛直に搬送するために配されている、請求項1〜9のいずれか一つに記載の方法。
  11. 前に第三の基板支持構造体にクランプされ、前記リソグラフィ装置において露光された前記露光されたクランプされたN−1番目基板、前記ロボットによって、前記クランプされたN番目基板によって占有されたのとは違う前記ロードロックの前記第一および第二の位置の別の一つから前記第一の基板準備ユニットに搬送する(518)ことと、
    前記露光されたN−1番目基板を前記第一の基板準備ユニットの第三の基板支持構造体から切り離すことと、
    前記リソグラフィシステムユニットからの取り外しのための前記露光されたN−1番目基板前記ロボットによって前記第一の基板準備ユニットから搬送する(503)ことをさらに有しており、
    前記クランプされたN番目基板は、前記リソグラフィ装置における前記クランプされたN−1番目基板の露光が完了される前に、前記ロードロックユニットに搬送される(517)、請求項1〜10のいずれか一つに記載の方法。
  12. 前記基板のおのおのを前記基板支持構造体の一つにクランプするのに先立って、前記基板準備ユニットの一つの所定の方位に向けて前記基板を事前整列させることを有している、請求項1〜11のいずれか一つに記載の方法。
  13. 前記基板のおのおのを前記基板支持構造体の一つにクランプするのに先立って、前記基板支持構造体から熱的エネルギーを除去することによる前記基板支持構造体の熱的コンディション調整をさらに有している、請求項1〜12のいずれか一つに記載の方法。
  14. 前記ロードロックは、ロードロックロボット(720)を備えており、前記方法はさらに、
    前記ロードロックユニットの前記第一および第二の位置の占有されていない一つへの前記クランプされたN番目基板の搬送の後にロードロックユニットをポンプ引きすることと、
    前記露光されたクランプされたN−1番目基板を、ードロックロボットアームによって、前記リソグラフィシステムユニットから、ポンプ引きされたロードロックユニットの前記第一および第二の位置の占有されていない一つに搬送することと、
    前記クランプされたN番目基板を、前記ロードロックロボットによって、前記ポンプ引きされたロードロックから前記リソグラフィシステムユニットの中に搬送することと、
    前記露光されたクランプされたN−1番目基板を前記第一の基板準備ユニットに搬送する前に、前記ロードロックユニットを通気することをさらに有している、請求項1〜13のいずれか一つに記載の方法。
  15. 前記ポンプ引きされたロードロックユニットの前記第一および第二の位置は、互いに対して鉛直に配されており、前記ロードロックロボット(720)は、前記基板を前記第一の位置からおよびその位置に搬送するために配された上側ハンドリング本体(701a)と、前記基板を前記第二の位置からおよびその位置に搬送するために配された下側ハンドリング本体(701b)を備えている、請求項1〜14のいずれか一つに記載の方法。
JP2014506911A 2011-04-28 2012-05-01 リソグラフィシステムにおいて基板を処理する方法 Expired - Fee Related JP5805851B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161480163P 2011-04-28 2011-04-28
US61/480,163 2011-04-28
PCT/EP2012/057955 WO2012146788A1 (en) 2011-04-28 2012-05-01 Method of processing a substrate in a lithography system

Publications (3)

Publication Number Publication Date
JP2014513429A JP2014513429A (ja) 2014-05-29
JP2014513429A5 JP2014513429A5 (ja) 2015-03-12
JP5805851B2 true JP5805851B2 (ja) 2015-11-10

Family

ID=46017897

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2014506911A Expired - Fee Related JP5805851B2 (ja) 2011-04-28 2012-05-01 リソグラフィシステムにおいて基板を処理する方法
JP2014506912A Active JP5787331B2 (ja) 2011-04-28 2012-05-01 リソグラフィシステムにおいて基板を搬送するための装置

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014506912A Active JP5787331B2 (ja) 2011-04-28 2012-05-01 リソグラフィシステムにおいて基板を搬送するための装置

Country Status (7)

Country Link
US (4) US9176397B2 (ja)
EP (1) EP2702452B1 (ja)
JP (2) JP5805851B2 (ja)
KR (4) KR101614475B1 (ja)
CN (3) CN106896650A (ja)
TW (2) TWI514089B (ja)
WO (2) WO2012146789A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103370655B (zh) * 2010-12-14 2016-03-16 迈普尔平版印刷Ip有限公司 光刻系统和在该光刻系统中处理基板的方法
JP6049367B2 (ja) 2012-09-13 2016-12-21 株式会社Screenセミコンダクターソリューションズ 基板処理装置および基板処理システム
NL2010624C2 (en) * 2013-04-08 2014-10-09 Mapper Lithography Ip Bv Cabinet for electronic equipment.
NL2014754B1 (en) 2014-05-07 2016-05-03 Mapper Lithography Ip Bv Enclosure for a target processing machine.
KR101681185B1 (ko) * 2014-11-04 2016-12-02 세메스 주식회사 인터페이스 모듈 및 이를 포함하는 기판 처리 장치 및 방법
CN107111251B (zh) 2014-11-14 2020-10-20 Asml荷兰有限公司 用于在光刻系统中转移基材的加载锁定系统和方法
NL2015784B1 (en) * 2015-11-13 2017-06-02 Mapper Lithography Ip Bv Load lock system and method for transferring substrates in a lithography system.
US10153282B1 (en) * 2017-08-11 2018-12-11 Lam Research Corporation Ultra-high vacuum transport and storage
CN108287454A (zh) * 2018-01-29 2018-07-17 信利(惠州)智能显示有限公司 掩膜板装载系统
CN112970089A (zh) 2018-11-06 2021-06-15 Asml荷兰有限公司 用于在带电粒子束设备中对晶片进行热调节的系统和方法
KR101977100B1 (ko) * 2018-11-15 2019-05-10 이지메카시스템(주) 렌즈 어셈블리 어닐링 시스템
US10910239B1 (en) * 2019-07-10 2021-02-02 Mikro Mesa Technology Co., Ltd. Method of transferring micro devices and device transfer system
JP2021086889A (ja) * 2019-11-27 2021-06-03 株式会社日立ハイテク 荷電粒子線装置

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3157308A (en) 1961-09-05 1964-11-17 Clark Mfg Co J L Canister type container and method of making the same
US3159408A (en) 1961-10-05 1964-12-01 Grace W R & Co Chuck
US4524308A (en) 1984-06-01 1985-06-18 Sony Corporation Circuits for accomplishing electron beam convergence in color cathode ray tubes
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5536128A (en) 1988-10-21 1996-07-16 Hitachi, Ltd. Method and apparatus for carrying a variety of products
JPH05136247A (ja) * 1991-11-13 1993-06-01 Fuji Electric Co Ltd 半導体ウエハ処理装置
AU6449994A (en) 1993-04-30 1994-11-21 Board Of Regents, The University Of Texas System Megavoltage scanning imager and method for its use
JPH0729787A (ja) 1993-07-15 1995-01-31 Toshiba Mach Co Ltd 恒温部材の温度保持装置
EP0634699A1 (en) * 1993-07-16 1995-01-18 Semiconductor Systems, Inc. Clustered photolithography system
DE4446489C1 (de) 1994-12-23 1996-05-15 Fraunhofer Ges Forschung Verfahren zum Manipulieren von Mikrobauteilen und Vorrichtung zur Durchführung des Verfahrens
EP0766405A1 (en) 1995-09-29 1997-04-02 STMicroelectronics S.r.l. Successive approximation register without redundancy
JP3892494B2 (ja) * 1996-01-26 2007-03-14 東京エレクトロン株式会社 基板搬送装置
JP3579228B2 (ja) * 1997-01-24 2004-10-20 大日本スクリーン製造株式会社 基板処理装置
US5944476A (en) * 1997-03-26 1999-08-31 Kensington Laboratories, Inc. Unitary specimen prealigner and continuously rotatable multiple link robot arm mechanism
JP4048387B2 (ja) * 1997-09-10 2008-02-20 東京エレクトロン株式会社 ロードロック機構及び処理装置
JPH11121362A (ja) 1997-10-20 1999-04-30 Canon Inc 露光装置およびデバイス製造方法
US6719516B2 (en) * 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
JP3019260B1 (ja) 1999-03-26 2000-03-13 株式会社日立製作所 電子ビ―ム描画装置
US6364762B1 (en) * 1999-09-30 2002-04-02 Lam Research Corporation Wafer atmospheric transport module having a controlled mini-environment
US6949143B1 (en) 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
JP2002009139A (ja) 2000-06-20 2002-01-11 Nikon Corp 静電チャック
KR100885082B1 (ko) * 2000-09-01 2009-02-25 어사이스트 테크놀로지스, 인코포레이티드 버퍼링 성능을 가진 에지 그립 얼라이너
TW559855B (en) * 2000-09-06 2003-11-01 Olympus Optical Co Wafer transfer apparatus
TW512421B (en) 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
EP1369904B1 (de) 2000-10-31 2009-01-21 Sez Ag Vorrichtung zur Flüssigkeitsbehandlung von scheibenförmigen Gegenständen
AU2002332417A1 (en) 2001-07-14 2003-03-03 Brooks Automation, Inc. Centering double side edge grip end effector with integrated mapping sensor
JP2003045947A (ja) * 2001-07-27 2003-02-14 Canon Inc 基板処理装置及び露光装置
JP2003068600A (ja) 2001-08-22 2003-03-07 Canon Inc 露光装置、および基板チャックの冷却方法
US6786996B2 (en) 2001-10-16 2004-09-07 Applied Materials Inc. Apparatus and method for edge bead removal
US6778258B2 (en) 2001-10-19 2004-08-17 Asml Holding N.V. Wafer handling system for use in lithography patterning
CN101105637B (zh) * 2002-02-22 2011-02-02 Asml控股股份有限公司 使用两件式盖子保护模版的系统和方法
CN100397558C (zh) 2002-08-31 2008-06-25 应用材料有限公司 向处理工具提供衬底的方法和设备
TWI300308B (en) 2002-10-25 2008-08-21 Mapper Lithography Ip Bv Lithography system
KR101061407B1 (ko) 2002-10-30 2011-09-01 마퍼 리쏘그라피 아이피 비.브이. 전자 빔 노출 시스템
KR101087633B1 (ko) * 2002-11-15 2011-11-30 가부시키가이샤 에바라 세이사꾸쇼 기판처리장치 및 기판처리방법
JP4484868B2 (ja) 2003-03-10 2010-06-16 マッパー・リソグラフィー・アイピー・ビー.ブイ. 複数の小ビームを発生させるための装置
SG115631A1 (en) * 2003-03-11 2005-10-28 Asml Netherlands Bv Lithographic projection assembly, load lock and method for transferring objects
EP1457829A1 (en) 2003-03-11 2004-09-15 ASML Netherlands B.V. Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
TWI234692B (en) * 2003-03-11 2005-06-21 Asml Netherlands Bv Lithographic projection assembly, handling apparatus for handling substrates and method of handling a substrate
JP2004281474A (ja) 2003-03-12 2004-10-07 Seiko Epson Corp 製造対象物の受け渡し装置および製造対象物の受け渡し装置を有する搬送システム
JP3674864B2 (ja) * 2003-03-25 2005-07-27 忠素 玉井 真空処理装置
US7010388B2 (en) * 2003-05-22 2006-03-07 Axcelis Technologies, Inc. Work-piece treatment system having load lock and buffer
ATE358885T1 (de) 2003-05-28 2007-04-15 Mapper Lithography Ip Bv Beamlet-belichtungssystem mit geladenen teilchen
JP4664293B2 (ja) 2003-07-30 2011-04-06 マッパー・リソグラフィー・アイピー・ビー.ブイ. 変調器回路
US7158211B2 (en) 2003-09-29 2007-01-02 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI502694B (zh) 2004-05-14 2015-10-01 Ferrotec Usa Corp 轉移物件通過低壓狀態下之負荷固定艙的裝置及方法
JP2006066690A (ja) 2004-08-27 2006-03-09 Hitachi High-Technologies Corp 電子線描画装置、電子線描画装置の温度制御方法、および回路パターン製造装置
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
JP2008530804A (ja) * 2005-02-22 2008-08-07 オー・ツェー・エリコン・バルザース・アクチェンゲゼルシャフト ウェーハを位置決めする方法
TWI424260B (zh) 2005-03-18 2014-01-21 尼康股份有限公司 A board member, a substrate holding device, an exposure apparatus and an exposure method, and a device manufacturing method
JP4667140B2 (ja) 2005-06-30 2011-04-06 キヤノン株式会社 露光装置およびデバイス製造方法
JP5336184B2 (ja) 2005-07-11 2013-11-06 ブルックス オートメーション インコーポレイテッド 自動位置合わせ基板搬送装置
KR20070029032A (ko) * 2005-09-08 2007-03-13 주성엔지니어링(주) 이동식 이송챔버와 이를 포함하는 기판처리장치
US7709815B2 (en) 2005-09-16 2010-05-04 Mapper Lithography Ip B.V. Lithography system and projection method
DE102006021647A1 (de) 2005-11-09 2007-11-15 Coenen, Wolfgang, Dipl.-Ing. Verfahren zur Vereinzelung von scheibenförmigen Substraten unter Nutzung von Adhäsionskräften
KR100655079B1 (ko) 2005-11-11 2006-12-08 삼성전자주식회사 트랜스퍼 챔버와 프로세스 챔버 사이의 기밀유지장치
US8325321B2 (en) 2006-07-28 2012-12-04 Mapper Lithography Ip B.V. Lithography system, method of heat dissipation and frame
JP5048352B2 (ja) 2007-01-31 2012-10-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US8950998B2 (en) * 2007-02-27 2015-02-10 Brooks Automation, Inc. Batch substrate handling
US20080225261A1 (en) 2007-03-13 2008-09-18 Noriyuki Hirayanagi Exposure apparatus and device manufacturing method
WO2008144668A1 (en) 2007-05-17 2008-11-27 Brooks Automation, Inc. Side opening substrate carrier and load port
US7817241B2 (en) 2007-07-05 2010-10-19 Asml Netherlands B.V. Lithographic apparatus and device manufacturing method
TWI514090B (zh) 2007-07-13 2015-12-21 Mapper Lithography Ip Bv 微影系統及用於支撐晶圓的晶圓台
US8705010B2 (en) * 2007-07-13 2014-04-22 Mapper Lithography Ip B.V. Lithography system, method of clamping and wafer table
US20090110532A1 (en) * 2007-10-29 2009-04-30 Sokudo Co., Ltd. Method and apparatus for providing wafer centering on a track lithography tool
CN102017052B (zh) 2008-02-26 2013-09-04 迈普尔平版印刷Ip有限公司 投影透镜装置
JP5619629B2 (ja) * 2008-02-26 2014-11-05 マッパー・リソグラフィー・アイピー・ビー.ブイ. 投影レンズ構成体
NL1036673A1 (nl) 2008-04-09 2009-10-12 Asml Holding Nv Robot Position Calibration Tool (RPCT).
TW201003713A (en) 2008-04-15 2010-01-16 Mapper Lithography Ip Bv Beamlet blanker arrangement
US8757026B2 (en) 2008-04-15 2014-06-24 Dynamic Micro Systems, Semiconductor Equipment Gmbh Clean transfer robot
US8502176B2 (en) 2008-05-23 2013-08-06 Mapper Lithography Ip B.V. Imaging system
CN102113083B (zh) 2008-06-04 2016-04-06 迈普尔平版印刷Ip有限公司 对目标进行曝光的方法和系统
CN102232237B (zh) 2008-10-01 2014-09-24 迈普尔平版印刷Ip有限公司 静电透镜构件
JP5548430B2 (ja) 2008-11-26 2014-07-16 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
JP5000627B2 (ja) * 2008-11-27 2012-08-15 東京エレクトロン株式会社 基板処理システム
JP5596058B2 (ja) * 2009-02-22 2014-09-24 マッパー・リソグラフィー・アイピー・ビー.ブイ. 基板支持構造体、クランプ調整ユニット及び、リソグラフィシステム
GB2469112A (en) 2009-04-03 2010-10-06 Mapper Lithography Ip Bv Wafer support using controlled capillary liquid layer to hold and release wafer
EP2399280B1 (en) 2009-02-22 2020-01-15 ASML Netherlands B.V. Preparation unit for lithography machine
JP5249098B2 (ja) * 2009-03-17 2013-07-31 東京エレクトロン株式会社 基板処理システム及び基板処理方法
US10395959B2 (en) 2013-01-22 2019-08-27 Brooks Automation, Inc. Substrate transport
WO2016158421A1 (ja) 2015-04-03 2016-10-06 株式会社日立ハイテクノロジーズ 光量検出装置、それを用いた免疫分析装置および荷電粒子線装置

Also Published As

Publication number Publication date
KR20180031082A (ko) 2018-03-27
JP2014513429A (ja) 2014-05-29
US8936994B2 (en) 2015-01-20
CN106919006B (zh) 2020-03-13
US9575418B2 (en) 2017-02-21
TW201250402A (en) 2012-12-16
EP2702452B1 (en) 2016-08-03
JP5787331B2 (ja) 2015-09-30
KR101614475B1 (ko) 2016-04-21
KR20160047590A (ko) 2016-05-02
US20130034421A1 (en) 2013-02-07
CN103649837B (zh) 2017-04-12
TWI486723B (zh) 2015-06-01
WO2012146789A1 (en) 2012-11-01
TWI514089B (zh) 2015-12-21
WO2012146788A1 (en) 2012-11-01
KR20140025495A (ko) 2014-03-04
KR101892460B1 (ko) 2018-08-28
US20160004173A1 (en) 2016-01-07
US9176397B2 (en) 2015-11-03
CN106896650A (zh) 2017-06-27
US20130044305A1 (en) 2013-02-21
CN106919006A (zh) 2017-07-04
KR20140041501A (ko) 2014-04-04
KR101607618B1 (ko) 2016-04-11
TW201250403A (en) 2012-12-16
CN103649837A (zh) 2014-03-19
EP2702452A1 (en) 2014-03-05
JP2014514769A (ja) 2014-06-19
USRE48903E1 (en) 2022-01-25

Similar Documents

Publication Publication Date Title
JP5805851B2 (ja) リソグラフィシステムにおいて基板を処理する方法
EP2681624B1 (en) Lithography system and method of processing substrates in such a lithography system
JP2007265971A (ja) ウエハホルダ、試料作製装置
TW201324592A (zh) 高產量離子植入機
KR102108312B1 (ko) 기판 처리 장치 및 방법
CN112687598A (zh) 基板传送设备和基板处理设备

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150119

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150119

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20150119

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20150220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150224

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150525

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150804

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150902

R150 Certificate of patent or registration of utility model

Ref document number: 5805851

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees