JP5800794B2 - 温度性能を向上させた、2本のビームによるレーザーアニール - Google Patents

温度性能を向上させた、2本のビームによるレーザーアニール Download PDF

Info

Publication number
JP5800794B2
JP5800794B2 JP2012287204A JP2012287204A JP5800794B2 JP 5800794 B2 JP5800794 B2 JP 5800794B2 JP 2012287204 A JP2012287204 A JP 2012287204A JP 2012287204 A JP2012287204 A JP 2012287204A JP 5800794 B2 JP5800794 B2 JP 5800794B2
Authority
JP
Japan
Prior art keywords
laser beam
temperature
wavelength
annealing
circuit pattern
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2012287204A
Other languages
English (en)
Other versions
JP2013157600A (ja
Inventor
シェン、シャオフア
ワン、ユン
ワン、シャオル
Original Assignee
ウルトラテック インク
ウルトラテック インク
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ウルトラテック インク, ウルトラテック インク filed Critical ウルトラテック インク
Publication of JP2013157600A publication Critical patent/JP2013157600A/ja
Application granted granted Critical
Publication of JP5800794B2 publication Critical patent/JP5800794B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/2636Bombardment with radiation with high-energy radiation for heating, e.g. electron beam heating
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/0604Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams
    • B23K26/0608Shaping the laser beam, e.g. by masks or multi-focusing by a combination of beams in the same heat affected zone [HAZ]
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/02Positioning or observing the workpiece, e.g. with respect to the point of impact; Aligning, aiming or focusing the laser beam
    • B23K26/06Shaping the laser beam, e.g. by masks or multi-focusing
    • B23K26/073Shaping the laser spot
    • B23K26/0732Shaping the laser spot into a rectangular shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K26/00Working by laser beam, e.g. welding, cutting or boring
    • B23K26/08Devices involving relative movement between laser beam and workpiece
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/55Specular reflectivity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23KSOLDERING OR UNSOLDERING; WELDING; CLADDING OR PLATING BY SOLDERING OR WELDING; CUTTING BY APPLYING HEAT LOCALLY, e.g. FLAME CUTTING; WORKING BY LASER BEAM
    • B23K2101/00Articles made by soldering, welding or cutting
    • B23K2101/36Electric or electronic devices
    • B23K2101/40Semiconductor devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Toxicology (AREA)
  • Electromagnetism (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Biochemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Chemical & Material Sciences (AREA)
  • Recrystallisation Techniques (AREA)

Description

本発明は、大略、集積回路を組み立てるための半導体製造に用いられるアニールに関し、具体的には、アニールプロセスの温度性能を向上させるために、異なる波長を有する2つのレーザービームを用いた半導体ウエハのレーザーアニールに関する。
レーザースパイクアニール(LSA)などのミリ秒レーザーアニールは、極めて低い熱量、高いドーパント活性化、および強力なステップ接合を呈することから、高度な半導体デバイス製造に幅広く適用されている。回路パターン付きウエハにこのタイプのアニールを施す際の課題を解決するためのキーポイントは、ICチップの特性に起因して、ウエハ表面の光学的および熱的特性の空間変動によって生じる潜在的に大きなチップ内の温度非均一性である。これによる悪影響は、当業界において「パターン密度効果」あるいは「パターン効果」とよばれる。
LSAの一例において、赤外線レーザーは、1本のアニールレーザービームをブリュースター角あるいはそれに近い入射角でウエハ表面へ向ける。また、当該レーザービームは、反射を最小化するためにp偏光されており、パターン密度効果に起因するチップ内の温度非均一性が生じる。この赤外線波長は、膜の厚さ(例えば、1ミクロン以下のオーダー)に比べて相対的に長い波長(例えば、10.6ミクロン)により、当該パターンからの光学的干渉効果を低減する。入射光のブリュースター角は、表面における最大吸収角度として知られており、ICチップ製造に用いられる種々の薄膜を含むICチップ構造に起因する光の吸収の差を最小化する。
特開2012−256879
この単一ビームアプローチは、数多くのICチップ構造および回路配置に対して非常にうまく作用する。しかしながら、特定のICチップ構造および大きな構造を伴う回路配置に対しては、異なる光学的特性を有する2つの隣り合う領域の境界における光学的回折に起因して温度オーバーシュートが見られる。このことは、隣り合う領域のドーパントを活性化させるのに用いられる最大アニール温度を低減する。
図1は、ウエハ本体9およびウエハ表面12を有する従来のシリコンウエハ10の一部についての拡大断面図である。図1のウエハ10は、ウエハ表面12に隣接したウエハ本体9に形成された酸化物領域16(例えば、酸化物分離パッド)の形成についての構成を含んでいる。この酸化物領域の構成16は、ウエハ本体9内の酸化物−シリコン接合面17を規定するとともに、一例のウエハ構造あるいは構成をなす。図2は、正規化された、強度と接合面17からの距離x(μm)についてのグラフである。このグラフは、従来技術に基づいて実施された単一ビームレーザーアニールにおける、図1のウエハ10の一部における模擬的な光学的強度分布を示している。このシミュレーションでは、10.6μmの波長を有するとともにシリコン基板のブリュースター角θBに近い入射角θ(つまり、θ≒θB≒75°)でウエハ表面12に入射するp型偏光CO2レーザービームLB(図1参照)が使用されている。図2の強度グラフでは、接合面17に隣接するウエハ本体9における、相対的に強い強度の振幅が示されている。当該振幅の周期性は、レーザービームLBの入射角θに依存しているとともに、典型的には波長の一部である。
ウエハの一部分における対応する温度分布は、熱拡散のため、強度分布に比べて平坦である。ミリ秒レーザーアニールの一般的な熱拡散距離は約100μmである。しかしながら、接合面17における温度は、ウエハ本体9の残部の温度に比べて依然として高い。この温度変動をエッジ温度オーバーシュートΔTedgeという。この温度オーバーシュートは、ウエハ10に形成された構成16の近くにおけるエッジダメージの原因となりうる。
本開示における一局面は、互いに異なる波長を有する2本のレーザービームを用いたレーザーアニールを実施するためのシステムおよび方法を含んでおり、一方で、本開示における他の局面は、波長は同じであるが構成が異なる(つまり、偏光、入射角、および入射面の少なくとも1つが異なる)2本のレーザービームを使用する。このシステムおよび方法は、アニールプロセスにおけるウエハ表面の温度均一性を向上させる。スリップ発生のためにアニール温度が制限されるウエハに対して、本明細書に開示される2本のビームを用いたアニールシステムおよび方法は、スリップを誘引することなく適用できる最大アニール温度を上げるために使用することができる。
半導体ウエハ上で実施された測定は、ウエハ表面上に形成された構成に関係するレーザー波長、偏光、入射角、入射面の向きと同様にウエハ表面における位置に応じてウエハ表面の反射性が大きく変動することを示している。本明細書に開示された2波長アニール方法は、反射性の変動を低減するとともに、いくつかのケースではこれを相殺することができ、これにより個々のICチップにおいてもアニール温度の均一性を向上させることができる。
本明細書に開示された、チップ内での温度均一性を向上させる(従来の単一ビームアニール方法と比べて)システムおよび方法の使用は、特に、赤外線波長に対する高い表面反射性の要因となる材質で形成されたデバイスを含むウエハをアニールする際に有用である。この場合、2本のレーザービームの強度割合は、光学的吸収差の最小化を目的としたウエハ表面における位置の関数として最適化されるか、あるいは、変動され、これによってアニール温度の均一性が向上される。
これにより、本開示における一局面は、第1および第2レーザービームを組み合わせて、集積回路(IC)チップの製造における半導体ウエハのレーザーアニールの実施のためのシステムおよび方法を含む。第1レーザービームは、シリコンのブリュースター角θB(つまり、約75°)あるいはそれに近い角度でウエハ表面に入射するp偏光された赤外線レーザービームである。第1レーザービームは、典型的に数百℃から目標ピークアニール温度までの中間温度にウエハ表面を予熱する予熱レーザービームである。第2レーザービームは、赤外線、可視光線、あるいはUVレーザービームであってよい。この第2レーザービームは、第1レーザービームと同じ赤外線波長を有することもできるが、その場合、例えば、偏光、入射角、および入射面の少なくともいずれか1つの構成が互いに異なることを要する。もし、第2レーザービームが第1レーザービームと実質的に異なる波長を有しているのであれば、実質的に同じビーム構成、つまり同じ入射面および同じ(あるいは近い)入射角を有してもよい。もちろん、第2レーザービームは、第1レーザービームと異なる波長および異なる構成を有してもよい。第2レーザービームは、垂直に近い角度から大きな角度(例えば、ブリュースター角θB、あるいはそれよりも大きな角度)までのいずれの角度でウエハ表面に入射してもよい。第2レーザービームは、一例としてウエハ溶融温度より若干低いアニール温度TAまでウエハ表面を加熱するために用いられる。一例において、第2レーザービームは、200℃から800℃の間までウエハ表面温度を上げる。第1および第2レーザービームは、ウエハ表面に、それぞれ第1および第2ライン像を形成する。一例において、第1ライン像は、第2ライン像を包含する。つまり、第2ライン像は、第1ライン像内に含まれている。第1および第2ライン像は、同調してウエハ表面を横断する。このような動きは、ウエハを動かすか、ライン像を動かすか、あるいは、これらの両方を動かすことによって達成される。
本開示の第2の側面は、上述したアニール温度均一性の向上のために2本のレーザービームを組み合わせる方法であり、さらに、アニールされるウエハ表面の一部における予熱波長およびアニール波長の反射性マップに基づくアニールの実施を含んでいる。したがって、この方法は、第1および第2反射性マップを得るために、ウエハ表面の少なくとも一部における反射性を測定して予熱波長およびアニール波長λ1、λ2を得る工程を有している。然る後、この方法は、また、第1および第2反射性マップを用い、アニールに単一レーザービームを用いる場合と比較してウエハ表面の温度変動を低減させる第1および第2レーザービーム強度I1、I2でウエハ表面をアニールする工程を有している。一例において、第1レーザービーム強度I1および第2レーザービーム強度I2の少なくとも一方は、単一アニールレーザービームによるウエハ表面のアニールと比較してウエハ表面におけるウエハ表面温度の変動を低減あるいは最小化する形で、ウエハ表面の位置に応じて変動する。
本開示の第3の側面は、大きな構造体の近くにおけるエッジダメージ、あるいはウエハ表面にスリップが形成されるのを軽減したり和らげたりするために、2本のレーザービームの強度I1、I2を規定する方法である。一例において、第2レーザービームの強度I2は、第2強度の範囲でテストウエハ上に実験を行い、また、エッジダメージあるいはスリップ発生の低減・最小化量のためのしきい温度に関係する第2強度を確立することによって選定される。テストウエハのエッジダメージあるいはスリップ発生の量は、検査(例えば、光学顕微鏡検査)により測定される。
本開示のさらなる特徴および利点は、下記の詳細な説明(発明を実施するための形態)に明記されている。また、それらの一部は詳細な説明の記載内容から当業者にとって直ちに明白となるか、下記の詳細な説明、特許請求の範囲、添付図面を含む、ここに記載された発明を実施することによって認識される。特許請求の範囲は明細書の一部であり、参照として詳細な説明に組み込まれる。
上記の背景技術等に関する記載及び下記の詳細な説明に関する記載は、特許請求の範囲に記載されている本開示の本質および特徴を理解するための概略または枠組みを提供するものであることを理解すべきである。添付図面は、本開示のさらなる理解を提供するために含まれており、本明細書に組み込まれ、本明細書の一部を構成する。図面は、本開示の様々な実施形態を図示するものであり、本明細書の記載とともに、本開示の原則および実施を説明するための一助となる。
特許請求の範囲は、本明細書の一部を構成し、具体的には、本詳細な説明に組み込まれる。また、特許請求の範囲は、下記の発明を実施する形態の一部を構成する。
ウエハ本体においてウエハ表面に隣接して形成された酸化物領域を含む従来のシリコンウエハの一部についての拡大断面図であり、また、ウエハ表面を横切る走査アニールレーザービームが示されている。 正規化された強度に対する、図1の酸化物−シリコン接合面からウエハ本体内への距離x(μm)についてのグラフであり、従来技術を用いたレーザーアニールにおけるウエハ本体のシリコン部分への模式的レーザーの光学的強度分布を示しているとともに、酸化物領域の縁におけるウエハへのエッジダメージの要因となり得るエッジオーバーシュート温度ΔTEDGEを示している。 本開示によるレーザーアニールシステムの1の実施例についての概略図である。 実施例にかかるレーザーアニールシステムあるいは実施例にかかるウエハ反射性測定システムについての概略立面図であり、予熱レーザービーム(第1ビーム源システム)およびアニールレーザービーム(第2ビーム源システム)における異なる構成を説明する図である。 図4Aに基づく概略図であり、それぞれ、X−Z平面およびY−Z平面においてそれぞれ規定された、予熱レーザービームの第1入射面およびアニールレーザービームの第2入射面を示している。 ウエハ表面上に形成された、実施例にかかる理想的な予熱ライン像およびアニールライン像の概略平面図である。 Y方向に切り取った、予熱ライン像およびアニールライン像の実施例にかかる強度プロファイルについての概略のグラフである。 X方向に切り取った、予熱ライン像およびアニールライン像の実施例にかかる強度プロファイルについての概略のグラフである。 ウエハ表面温度TS(℃)と時間(ミリ秒)との関係を示すグラフであり、予熱レーザービームおよびアニールレーザービームで生成された典型的なウエハ表面温度プロファイルを示している。 ICチップを含むウエハ表面における一例の領域RWを示す拡大差込図を含む、実施例にかかるウエハの平面図であり、第2の拡大差込図には、ICチップ内におけるウエハの構成の一例(酸化物領域)が示されている。 予熱波長λ1で測定された、実施例に係るウエハ表面の一部についての2軸(x,y)・グレースケールの反射性マップである。 アニール波長λ2で測定された、実施例に係るウエハ表面の一部についての2軸(x,y)・グレースケールの反射性マップである。 図6Aに示す反射性マップのA−A’破線矢視による、反射性Rと位置x(mm)との関係についてのグラフ(つまり、1軸反射性マップ)である。 図6Bに示す反射性マップのA−A’破線矢視による、反射性Rと位置x(mm)との関係についてのグラフ(つまり、1軸反射性マップ)である。 図7Aと同様の、10.6ミクロンのIR予熱波長および0.85ミクロン(850nm)のアニール波長の組み合わせに基づく図8Bの中央線矢視による、反射性と位置との関係についてのグラフ(つまり、1軸反射性マップ)である。 図6Aと同様の、2軸(x,y)反射性マップである。 テストウエハにおける異なる3つのレイアウトエリアのエッジダメージしきい温度TDT(℃)について、従来の単一ビームアニールを用いた場合(白棒)と、本明細書に開示された2本ビームアニールシステムおよび方法を用いた場合(黒棒)とを対比する棒グラフである。 従来のアニールおよび本開示における2本ビームレーザーアニールシステムおよび方法を用いて測定されたスリップしきい温度を示すグラフである。
ここで、本開示の様々な実施形態を詳細に参照する。実施形態の一例を図面に示す。図中、同一または同様の部分を参照する際、可能な限り同一または同様の参照番号及び符号を使用する。図面には決まった縮尺が無く、当業者であれば、これら図面は本開示の主要な部分を説明するために簡略化されていることに気づくであろう。いくつかの図面において、参考のために直交座標系が描かれているが、これは特定の方向および当該システムや方法の配置方向を限定するものではない。特許請求の範囲に記載された内容は、本明細書に組み込まれて援用される。
以下の説明において、「半導体基板」および「ウエハ」の語は、互いに同義であり、かつ、互いに入れ替えて使用可能に用いられている。同様に、「半導体ウエハ表面」および「ウエハ表面」も互いに同義であり、かつ、互いに入れ替えて使用可能に用いられている。つまり、「ウエハ表面」とは、「半導体ウエハ表面」を短縮したものといえる。「ウエハ」は、集積回路装置の製造に用いられるような「半導体ウエハ」の短縮形である。典型的なウエハは、シリコンウエハである。
図3は、本開示にかかるレーザーアニーリング システム (以下、“システム”) 100についての1つの実施例の概略図である。図4Aは、システム100の実施例の概略立面図である。図4Aにおける当該システム100は、以下に説明するように、ウエハ反射性測定システム100RMでもある。
図3を参照するに、システム100は、上表面132を有するチャック130を使用可能に支持するウエハステージ120を含む。当該ウエハステージ120は、X−Y平面を移動可能に構成されており、必要に応じてZ方向にも移動可能に構成される。チャック上表面132は、ウエハ本体9および回路パターン付きウエハ表面12を使用可能に支持する平面11を有するウエハ10を使用可能に支持する。ウエハ表面12は、ICチップ製造における様々な段階、およびICチップの構成に応じた任意の回路パターンを有することができる(例えば、以下に紹介・説明される、図5Eに示されたウエハ構造体16の実施例を参照)。
一例において、チャック130は、ウエハ10を予熱するために加熱される。ウエハステージ120は、ステージコントローラ124に使用可能に接続されており、また、チャック130は、チャックコントローラ134に使用可能に接続されている。
図3および図4Aを参照するに、システム100は、また、一例において第1波長λ1を有する第1ビーム168を生成する第1ビーム源システム150を有している。一例において、第1ビーム168は、強度I1を有しているとともに、ウエハ表面温度TSをウエハアニール温度TA(一例において、約1,300℃)よりも低い約500℃から約1,100℃の範囲に上げることによってウエハ表面12を予熱するのに使用される。
他の実施例において、システム100は反射性測定システム100RMを構成し、さもなければ反射性測定システム100RMとして使用される。また、第1ビーム168は、アニールのためのIRプロセス波長を中心とする狭帯域の光であり、また、以下に説明するように、第1波長λ1におけるウエハ表面12の第1反射性R1(x,y)を測定するのに使用される。以下の説明において、最初にレーザーアニールについて説明し、然る後、反射性測定について説明することから、以下では、便宜上、第1ビーム源システム150を予熱レーザーシステム150と記載し、また、第1ビーム168を予熱レーザービーム168と記載する。
実施例の予熱レーザーシステム150は、予熱レーザー160および第1光学軸A1を規定する予熱光学システム166を有している。この予熱レーザー160としては、ダイオードレーザー、あるいは連続波(CW)、p偏光された10.6ミクロンのCO2レーザーといったCO2レーザーが考えられる。軸A1は、予熱レーザービーム168がウエハ表面12に対して直角に近い角度(つまり、0°に近い角度)から大きく傾いた入射角(シリコンのブリュースター角、あるいはそれよりも大きな角度)の範囲にある入射角θ1でウエハ表面12に入射するような向きに設定することができる。1の実施例において、第1光学軸A1は、実質的にシリコンのブリュースター角に等しい角度θ1を有しており、非均一光学吸収による前述のパターン密度効果は軽減あるいは最小化される。
予熱光学システム166は、初期の予熱レーザービーム162を受け入れ、これを用いて予熱レーザービーム168を形成する。予熱レーザービーム168は、通常、第1(予熱)光学軸A1に沿って進み、ウエハ表面12に第1(予熱)ライン像170を形成する。
図5Aは、ウエハ表面12上に形成された理想的な予熱ライン像170の例を示す概略平面図である。図5Bおよび図5Cは、予熱ライン像170の強度プロファイル170Pの実施例についての概略のグラフであり、図5BはY方向に、図5CはX方向に切り取ったものである。強度プロファイル170Pは、ウエハ表面12における予熱レーザービーム168の強度プロファイルに対応しており、また、例えば当該強度が所定のしきい強度ITHよりも大きいことをもって予熱ライン像170を規定する。予熱ライン像170は、中心軸あるいは長軸(つまりY軸)方向の軸C1を有するものとして示されている。長軸(Y軸)は、走査(つまりX)方向に直交する。予熱ライン像170は、図5Aに大矢印で示されるように、速度VSでX方向に走査される。
予熱レーザービーム168は、典型的には、走査方向においてガウス分布の強度プロファイルを有しており、また、長手方向(走査方向に交差する方向)において相対的に上部が平たいプロファイルを有している。実施例における予熱レーザービーム168の(したがって、予熱ライン像170の)ビーム幅W1(ガウス分布プロファイルの半値全幅(FWHM)として規定される)は、約0.05mmから約2mmの範囲にある。実施例における予熱レーザービーム168の(したがって、予熱ライン像170の)ビーム長さL1は、概して、約5mmから約20mmの範囲にある。一例において、予熱レーザービーム168の走査および対応する予熱ライン像170のウエハ10内への熱拡散距離(深さ)は、約30ミクロンから約500ミクロンの範囲にある。
図3および図4Aを再び参照するに、システム100は、また、第2ビーム268を生成する第2ビーム源システム250を有している。一例において、第2ビーム268は、強度I2の第2レーザービームであり、ウエハ表面12における予熱された部分の加熱に用いられる。この予熱された部分は、予熱レーザービーム168の照射(また、必要に応じて加熱されたチャック130)により、ウエハ表面温度TSが局部的に上がり、さらに、スパイク的にアニール温度TAまで上がる。アニール温度TAは、一例において、ウエハ溶融温度TMELTよりも若干低い温度である。以下の説明において、第2ビーム268は、アニールレーザービーム268とも記載される。
図5Dは、ウエハ表面12上の任意の位置におけるウエハ表面温度TS(℃)に対する時間(ミリ秒)のグラフであり、予熱レーザービーム168およびアニールレーザービーム268が当該位置を通過して予熱することによって生成される典型的なウエハ表面温度プロファイルを示している。温度T0は、予熱レーザービーム168やアニールレーザービーム268がウエハ表面12に適用される前段階の基礎ウエハ表面温度である。TPHは、予熱レーザービーム168によって上げられた予熱ウエハ表面温度であり、また、ΔTSは、予熱ウエハ温度TPHからアニールレーザービーム268によってアニール温度TAまで上げられた表面温度の増加分である。図5Dのグラフにおけるデータは、アニールレーザービーム268よりも走査方向において幅広の予熱レーザービーム168に基づくものである。これを用いてアニールレーザービームに先立ってウエハを中間(予熱)温度TPHまで予熱し、さらにウエハ表面をアニール温度TAまで加熱する。
他の実施例において、第2ビーム268は、第2ビーム268の(第2)波長λ2を中心とする狭帯域ビームであり、また、以下に説明するように、同第2波長λ2のウエハ表面12における第2反射性R2(x,y)を測定するのに使用される。以下において、最初にレーザーアニールについて説明するように、第2ビーム源システム250は、アニールレーザーシステム250として言及される。また、特記しない限り、少なくとも1つの第2ビーム268は、アニールレーザービーム268と呼ばれる。
一例において、アニールレーザーシステム250は、初期のアニールレーザービーム262を生成するアニールレーザー260を有している。第2ビーム268の第2波長λ2はアニール波長と呼ばれ、また、予熱を実施した後でウエハ表面12を加熱できるものであれば、いかなる波長であってもよい。実施例のアニール波長は、IR、可視光、あるいは紫外線(UV)を含む。
アニールレーザーシステム250は、また、第2(アニール)光学軸A2に沿ってアニールレーザー260との関係で使用可能に配置されたアニール光学システム266を有している。実施例のアニール光学システム266は、ビーム成形光学システム266A、折り返しミラーFM1、可調整開口267、投射光学システム266B、および、必要に応じて別の折り返しミラーFM2を有している。アニール光学システム266は、アニールレーザー260からの初期のアニールレーザービーム262を受け、当該初期のアニールレーザービーム262からアニールレーザービーム268を形成する。アニールレーザービーム268は、ウエハ表面12において前述のアニールを実施できるように予熱ライン像170に関連して第2(アニール)ライン像270を形成する。一例において、第2(アニール)光学軸A2は、ウエハ表面12に対する入射角θ2(シリコンのブリュースター角、あるいはそれに近い角度)を有しているが、第2光学軸A2の入射角として他の適切な角度を採用することができる。
一例において、アニールレーザービーム268の強度I2は、予熱温度TPHに依存して、ウエハ表面12の温度を200℃から800℃の間まで上げるために選択される。一例において、強度I2は、ウエハ表面温度を予熱ウエハ表面温度TPHからアニール温度TA(一例において、シリコンの溶融温度よりも若干低い温度)まで上げる。
図5Aは、予熱ライン像170に関連して、ウエハ表面12上に形成された理想的なアニールライン像270の例を示す概略平面図である。図5Bおよび図5Cは、また、アニールレーザービーム268の強度プロファイル270Pの一例にかかるX方向およびY方向の断面グラフである。強度プロファイル270Pは、例えば当該強度がしきい強度ITHを超えていることを条件としてアニールライン像270を規定する。アニールライン像270は、Y方向において長さL2を有しており、X方向において幅W2を有している。
図5Eは、ウエハ表面12における領域RWの実施例を示す拡大差込図を含む、ウエハ10の実施例についての平面図である。領域RWは、隙間13(切り口領域、あるいはICチップ15を細かく切断するためのけがき線を形成するのに用いられる領域)によって離間された多数のICチップ15を含んでいる。ウエハ構成16の実施例は、1つのICチップ15内においても示されている。このウエハ構成16の実施例は、ICチップ15内の金属化線の例として示されており、当該金属化線はY方向に方向付けられている。
走査方向に沿った強度プロファイル270Pは、典型的にガウス分布を呈する。一例において、アニールライン像20の幅W2は、強度プロファイル270Pのガウス分布Y方向断面のFWHMによって規定される。典型的な幅W2は50ミクロンから500ミクロンの範囲にある。一例において、アニールライン像270の長さL2は、実質的に予熱ライン像170の長さL1と同じである。
図3および図4Aを再び参照するに、システム100は、ウエハ表面で鏡面的に反射されたレーザービーム168Rを検知するためにウエハ表面12に関連して配置された第1光検知器280を有している。第1光検知器280は、検知された反射レーザービーム168Rの強度を表す電気信号SD1を生成する。一例において、光検知器280は、冷却された(例えば、熱電冷却、あるいは液体窒素冷却)赤外線検知器を有している。
システム100は、また、ウエハ表面12からの反射あるいは散乱したビーム268RSを検出するために配置された第2光検知器290を有している。一例において、第2光検出器290は、積分球292、および、当該積分球292に使用可能に取り付けられ、当該積分球292内部と光学的に通信可能なフォトダイオード294を有している。第2光検知器290は、検知された反射あるいは散乱したビーム268RSの強度を表す電気信号SD2を生成する。もし、アニールレーザービーム268を生成するために短い波長のアニールレーザー260を使用するのであれば、回路パターン付きウエハ表面12からのアニールレーザービーム268の散乱量は重要かも知れないことに注意すべきである。このため、一例において、積分球292は、反射したレーザービーム268RSと散乱したレーザービーム268RSの両方を収集するようになっている。
システム100は、さらに、ステージコントローラ124、チャックコントローラ134,予熱レーザー160、アニールレーザー260、可調整開口267、第1光検知器280、および第2光検知器290に電気的に接続されたシステムコントローラ300を有している。一例において、システムコントローラ300は、システム100における様々な要素の運転制御をコントローラにさせるように適応されたコンピュータ等の機器を有している。システムコントローラ300は、演算装置(プロセッサ)302、およびメモリユニット(メモリ)304を有している。コントローラ300の実施例はプロセッサを含むコンピュータそのもの、あるいはそれを含んでおり、また、マイクロソフト社のWINDOWS(登録商標)あるいはLINUX(登録商標)といったオペレーティングシステムを含んでいる。
実施例において、プロセッサ302は、一連のソフトウェア命令を実行可能な任意のプロセッサあるいはデバイス、あるいはこれらを有するものであり、特段の制限はなく、汎用あるいは特定用途向けのマイクロプロセッサ、有限状態機械、コントローラ、コンピュータ、中央演算処理装置(CPU)、フィールド・プログラマブル・ゲート・アレイ(FPGA)、あるいはデジタル・シグナル・プロセッサを用いることができる。実施例において、当該プロセッサは、インテル社のXeonあるいはPENTIUM(登録商標)プロセッサ、AMD社のTURION(登録商標)、あるいはAMD社、インテル社、そのほかの半導体プロセッサメーカ製のプロセッサを用いることができる。
メモリ304は、プロセッサ302に使用可能に接続されている。ここで、「メモリ」の語は、プロセッサが判読可能なすべての媒体を意味しており、RAM、ROM、EPROM、PROM、EEPROM、ディスク、フレキシブルディスク、ハードディスク、CD−ROM、DVD等を含む(これらに限定されるものではない)、プロセッサ302によって実行される一連の命令が記憶されるものである。実施例において、システムコントローラ300は、CD−ROM、DVE、メモリースティック他の記憶媒体といった、取り外し可能かつプロセッサが判読可能な媒体308を収容するために適用されたディスクドライブ306を含んでいる。
本明細書に記載したレーザーアニール方法は、システム100の運転制御によって本明細書に記載されたアニール方法を実行するためにシステムコントローラ300を動かすための機械で読み取り可能な命令群(例えば、コンピュータプログラムおよび/またはソフトウェアモジュール)を含む、機械で読み取り可能な媒体(例えば、メモリ304)に記憶された様々な実施例によって実行される。実施例において、コンピュータプログラムはメモリ304から読み出されて、プロセッサ302上で実行される。
コンピュータプログラムおよび/またはソフトウェアモジュールは、本発明にかかる様々な方法を実施するとともに、システム100および反射性測定システム100RMにおける様々なコンポーネントの運転および機能を制御する複数のモジュールあるいはオブジェクトを備えることができる。当該コードに用いられるコンピュータプログラミング言語の種類は、手続き型言語からオブジェクト指向言語までの様々な言語を使用することができる。各ファイルあるいはオブジェクトは、各モジュールあるいは方法のステップと一対一に対応する必要はない。さらに、方法および装置は、ソフトウェア、ハードウェア、およびファームウェアを組み合わせたものであってもよい。本発明にかかる様々な実施例を実行するため、ファームウェアがプロセッサ302にダウンロードされ得る。
向上した表面温度の均一性
本明細書に開示された2本ビームのレーザーアニールシステムおよび方法を用いることの利点は、異なる物質同士の間でウエハ表面上の反射率を異ならせる前述した不都合なパターン密度効果を軽減することにより、ウエハ表面12の温度均一性が向上することにある。上述のように、メモリーチップのような特定のデバイスに対して、ウエハ表面12は、予熱レーザービーム168に使われる長い波長に対して高い反射性を呈するとともに、アニールレーザービーム268に使われる短い波長に対して低い反射性を呈する材質および構造16を有することができる。このため、予熱レーザービーム168およびアニールレーザービーム268を、光吸収の差を低減するように使用することができ、これによりアニールプロセスにおいてより均一な温度分布を得ることができる。
本明細書に開示されたアニールの方法の実施例は、ウエハ10に対するアニール温度の均一性を向上させるため、ウエハ表面12の少なくとも一部の反射特性に基づいて、予熱レーザービーム168の強度I1およびアニールレーザービーム268の強度I2をそれぞれ選択する工程を有している。一例において、強度I1およびI2には、アニールプロセスにおいてウエハ表面12の温度差を最大限減少させる方法が選ばれる。
一例において、割合RI=I1/I2は、アニールプロセスにおけるウエハ表面12の温度差を軽減/最小化するアニールプロセスの最適化のパラメータとして使用される。一例において、強度I1およびI2は、それぞれ、ウエハ表面12の少なくとも一部における第1反射性マップおよび第2反射性マップに基づいて走査中に変化する。一例において、アニールライン像の強度は、その長さによって変化しないので、この変化は、少なくともアニールライン像268Pのサイズを基準として生じる。一般に強度I1および/または強度I2の変化の周期は、予熱波長λ1およびアニール波長λ2におけるウエハ表面の反射性の空間周波数と比較してゆっくりと変化する。
別の実施例において、強度I1およびI2は、一定値に維持されており、また、アニールプロセスにおけるウエハ表面温度の変動を軽減あるいは最小化する観点で特定の反射性マップのために最適に選択された強度を意味する。最適な選択方法の実施例は、以下に説明するように、図8Aおよび図8Bに示されている。
ウエハ表面12の少なくとも一部における反射性は、予熱レーザービーム168およびアニールレーザービーム268を使用して上記システム100を用いることにより測定できる。より一般的には、ウエハ表面12の少なくとも一部における反射性は、ウエハ10のアニールに用いられる予熱波長λ1およびアニール波長λ2と同じ波長を有するレーザーあるいは非レーザー(例えば、ランプから放射されたような)ビームとしての第1ビーム168および第2ビーム268を活用する反射性測定システム100RM(あるいは同様に構成されたシステム)を用いて測定することができる。以下では、実施例の形式で、予熱レーザービーム168およびアニールレーザービーム268を用いたウエハ表面12の反射性の測定について説明する。予熱波長λ1およびアニール波長λ2でウエハ表面12の少なくとも一部における第1反射性マップおよび第2反射性マップを確立するために使用される典型的なレーザー出力は、アニールを実施するときの出力ほど高くする必要がなく、ミリワット程度でよい。
図4Bを参照するに、一例において、予熱レーザービーム168およびアニールレーザービーム268は、互いに直交する第1入射面IP1および第2入射面IP2のそれぞれに属している。具体的には、第1入射面IP1は、X−Z面によって規定され、第2入射面IP2は、Y−Z面によって規定されている。反射した第1ビーム168Rは、鏡面反射経路に沿う第1光検知器280によって検知される。反射および散乱したレーザービーム268Rは、第2光検知器290によって集約される。システムコントローラ300は、一例において整数の数のICチップ15をカバーするウエハ表面12の画定された面におけるX−Y走査を実施するためにウエハステージ120を制御する。各光検知器280、290からの検知信号SD1およびSD2は、(x,y)ウエハ座標の関数として生成され、また、システムコントローラ300に供給される。第1ビーム168および第2ビーム268の各強度I1およびI2を知ること、および、反射した第1ビーム168Rおよび反射/散乱したレーザービーム268RSの各反射強度I1’およびI2’を測定することにより、例えばプロセッサ302で、ウエハ表面12上における任意のx-y位置における反射性が求められる。
したがって、反射性のデータは、ウエハ表面12の少なくとも一部の反射性マップR1(x,y)およびR2(x,y)を生成するためのx-y座標系の関数としてウエハ表面12から収集される。一例において、第1ビーム168および第2ビーム268に関する波長についての高い反射性を有する調整された(金属皮膜のシリコンウエハといった)ウエハは、反射性の測定を調整するために使用される。先に説明した通り、ウエハ表面12の反射性マッピングは、現実のLSAアニールシステム、あるいは測定システム100RMのような離隔計測学システムによって実行することができる。
図6Aおよび図6Bは、予熱波長λ1(図6A)およびアニール波長λ2(図6B)で測定された実施例にかかるウエハ表面12の反射性マップを表す反射性の等高線図である。図7Aおよび図7Bは、それぞれ図6Aおよび図6Bの等高線図における矢視A-A'に対する位置の関数としての反射性グラフである。2つの波長の組み合わせが部分的にウエハ表面12における反射性の変動を相殺することを示すことにより、予熱波長λ1における低い反射性領域は、アニール波長λ2における高い反射性を示す。
図8Aおよび図8Bは、図6Aおよび図7Aと同様であり、反射性マップ、および、第1ビーム168および第2ビーム268が使用されたときについての説明を表している。2つのレーザービーム強度I1およびI2の比率RIは、最も均一な吸収が与えられるように選定される。この用途のために、各レーザーによるアニール時間が著しく異ならないように、第1ビーム168および第2ビーム268には、ウエハ表面12において同様のサイズを有することが望まれる。
1の実施例において、最適な強度割合RI=I1/I2は、アニール中のチップ内部温度分布を最小限にすることによって決められる。これは、ウエハ表面温度についての下記の式に基づいて推量できる。
S(x,y) = s1・A1(x,y)・I1 + s2・A2(x,y)・I2
変数s1およびs2は、吸収された強度に対するウエハ温度の感度を表す係数である。これら係数は、回路パターンが付されていないシリコンウエハをアニールし、また、吸収されたレーザー強度の関数として温度をモニタすることにより決定することができる。
パラメータA1およびA2は、下記の関係を通じて測定された反射性マップR1およびR2から算出された吸収分布である。
1(x,y) = 1 - R1(x,y)
2(x,y) = 1 - R2(x,y),
ここで、R1およびR2は、上述の方法によって測定された反射性マップである。積分球292を使用する場合、測定された反射性マップは散乱寄与を含んでいる。
上記実施例において、第1ビーム168とは異なる波長を有する第2ビーム268が第1ビームに関連する吸収変動を部分的に相殺する吸収分布を生成するのに使用された。光学的吸収は、また、入射角、偏光、および入射面の方向といったウエハ表面12上の構造16(例えば、ICチップ回路レイアウト)に関連して変動するので、a)同じ波長を有し、かつ、入射角、偏光、および入射面方向の少なくとも1以上で異なるか、あるいは、b)波長が異なり、かつ、方向が同じか異なっている第2ビーム268を吸収均一性およびそれによるアニール温度均一性を向上させるために使用することができる。
実施例を通して、ウエハ表面12がxおよびy方向の金属格子線を伴う構成16を有するレイアウトのICチップ15を有するケースを検討する。光入射面(p偏光を想定)に平行な格子線を有する当該構成16は、当該入射面に直交する格子線よりも高い反射性を有することになる。この場合、第2ビーム268は、第1ビーム168のものと同じ波長、入射角、および偏光を有していてもよいが、入射面については、第1ビームの入射面に対して直交する。
第1ビーム168および第2ビーム268のそれぞれの強度I1、I2についても、エッジ温度オーバーシュートを軽減するために最適化することができ、それゆえに、エッジダメージのしきい値が向上する。図9は、テストウエハにおける異なる3つのレイアウトエリアA、B、およびCで観測されたエッジダメージしきい温度TDT(℃)の棒グラフであり、従来の単一ビームアニールを用いた場合(白棒)と、本明細書に開示された2本ビームアニールシステムおよび方法を用いた場合(黒棒)とを対比するものである。2本ビームアニールシステムおよび方法によれば、従来の単一ビームアニール方法に比べてダメージしきい温度TDTが約40℃向上する(つまり、グラフに示すように、ΔTDT≒40℃)。
本明細書に開示された2本ビームアニールシステムおよび方法を用いてウエハ表面12を予熱することによるさらなる利点は、シリコン基板10におけるスリップの発生を低減するために有用な温度ランプ速度の低減および温度勾配の低下である。図10は、スリップしきい温度Tslip(℃)と予熱レーザービーム168の相対温度TPHとの関係を示すグラフであり、予熱レーザービームを使用しないケース("none"で表示)を含んでいる。レーザーアニールの実験は、ホウ素が埋め込まれた(インプラントドーズ: 2x1015cm-2;エネルギー:5keV)裸のシリコンウエハ上で行われた。スリップしきい値は、光学的顕微鏡検査によって決定された。予熱温度TPHを上げることで、"none"ケース(従来の単一ビームアニール)と比較して、スリップしきい温度Tslipの著しい向上が見られた。
アニール方法
一旦、ウエハ10における第1ウエハ表面反射性マップR1(x,y)および第2ウエハ表面反射性マップR2(x,y)が上述のように確立されれば、本明細書に開示した方法は、従来の単一ビームアニールと比較してアニールプロセスの温度非均一性を低減するように、第1反射性マップおよび第2反射性マップに基づいて予熱レーザービーム強度I1およびアニールレーザービーム強度I2を選択し、システム100を用いてウエハをアニールすることを含む。
これにより、図3および同図のシステム100を再び参照するに、一例において、システムコントローラ300は、第1制御信号S1を予熱レーザー160に送信し、これに応じて予熱レーザー160にて初期の予熱レーザービーム162が生成される。当該初期の予熱レーザービーム162は、予熱光学システム166に受け入れられて強度I1の予熱レーザービーム168が形成される。当該予熱レーザービーム168は、一般に、第1光学軸A1に沿って進み、ウエハ表面12において予熱ライン像170を形成する。
システムコントローラ300は、また、第2制御信号S2をアニールレーザー260へ送信し、これに応じて初期のアニールレーザービーム262が生成される。当該初期のアニールレーザービーム262は、アニール光学システム266に受け入れられて強度I2のアニールレーザービーム268が形成される。当該アニールレーザービーム268は、前述のようにウエハ表面の一部でアニールが実施できるように予熱ライン像170と関連するアニールライン像270を形成する。
システムコントローラ300は、また、予熱ライン像170およびアニールライン像270との関係でウエハ10を動かす(走査する)ための第3制御信号S3をステージコントローラ124に送信する。一例において、チャック130がウエハの予熱をする場合、システムコントローラ300は、また、ウエハ予熱プロセスを開始するための第4制御信号S4をチャックコントローラ134に送信する。システムコントローラ300は、アニールライン像270の長さL2および幅W2を設定するための第5制御信号を可調整開口267に対して送信するようにしてもよい。前述のように、1の実施例において、予熱強度I1およびアニール強度I2を一定にしてウエハ表面12をアニールする。別の実施例において、予熱強度I1およびアニール強度I2の少なくとも一方は、システムコントローラ300に格納された第1および第2反射性マップR1(x,y)およびR2(x,y)に基づいて予熱レーザービーム168およびアニールレーザービーム268による走査中に変動される。一例において、予熱強度I1およびアニール強度I2の少なくとも一方の変調は、反射性マップR1(x,y)およびR2(x,y)におけるそれぞれの反射性測定の平均値に基づいて行われる。
予熱ライン像170の滞留時間tDは、ライン像の幅W1と走査速度VSの比として与えられる。一例において、滞留時間tDは100マイクロ秒(μs)≦tD≦20ミリ秒(ms)の範囲にある。
上記説明において、長い波長を有する第1レーザービーム168は、実質的にブリュースター角の入射角に指向されているとともに予熱ビームとして使用されるのに対して、第2レーザービームは、より短い波長、あるいは、波長は同様であるが異なる構成を有しており、アニールレーザービームとして使用される。本開示における他の実施例では、アニールレーザービームとして長い波長のレーザービームを使用し、予熱ビームとして短い波長のレーザービームを使用している。
当業者には明白であるが、本開示の精神および範囲を逸脱することなく、本開示に対して様々な修正および変更を加えることができる。したがって、本開示は、添付の特許請求の範囲およびその均等範囲内において本開示の修正および変更を包含する。

Claims (26)

  1. 回路パターンが付された表面、および溶融温度を有する半導体基板のアニール方法であって、
    前記回路パターン付きウエハ表面の一部について、第1波長による第1反射性マップおよび第2波長による第2反射性マップを測定する工程、
    前記第1および第2反射性マップを用いて、前記第1波長を有する第1レーザービームの第1強度、および前記第2波長を有する第2レーザービームの第2強度をそれぞれ規定し、前記第1および第2レーザービームのそれぞれが半導体基板のアニール中に回路パターンが付された表面の一部を照射したときにおける表面温度変動の量を前記第1あるいは第2レーザービームのいずれか1つを用いて前記回路パターン付きウエハ表面の一部をアニールする場合に比べて低減する工程、
    前記第1および第2強度をそれぞれ有する前記第1および第2レーザービームを用いて前記半導体基板を溶融させることなくアニールするために前記回路パターン付き表面の前記一部を照射する工程を有する方法。
  2. 前記第1レーザービームを第1入射面に設定するとともに、前記第2レーザービームを第2入射面に設定することを特徴とする請求項1に記載の方法。
  3. 前記第1レーザービームおよび前記第2レーザービームで前記パターン付き表面の前記一部を走査する工程をさらに有する請求項2に記載の方法。
  4. 前記第1強度は、前記回路パターン付きウエハ表面の前記一部を溶融温度以下で、かつ、前記溶融温度以下のアニール温度よりも低い予熱温度まで予熱するのに十分であり、また、
    前記第2強度は、前記回路パターン付きウエハ表面を前記予熱温度から前記アニール温度まで加熱するのに十分である請求項3に記載の方法。
  5. 前記第1波長は赤外線波長であり、前記第2波長は前記第1波長と異なる請求項1に記載の方法。
  6. 前記第1レーザービームで前記回路パターン付き表面に予熱ライン像を規定する工程、および
    前記第2レーザービームで前記回路パターン付き表面にアニールライン像を規定する工程をさらに有しており、
    前記アニールライン像は、前記予熱ライン像内に完全に収まっている請求項1に記載の方法。
  7. 前記回路パターン付き表面における領域のサイズに対応する長さを有するために、前記予熱ライン像の1つ、および、アニールライン像の少なくとも1つを用意する工程をさらに有する請求項6に記載の方法。
  8. 前記回路パターン付き表面の前記一部への照射中に、前記第1および第2強度の少なくとも一方を変動させる工程をさらに有する請求項1に記載の方法。
  9. 前記回路パターン付き表面の前記一部は、ウエハ構造体を含んでおり、また、
    前記第1および第2強度は、さらに、i)前記ウエハ構造体に関連するエッジダメージおよびii)ウエハスリップの少なくとも一方を最小化するように規定される請求項1に記載の方法。
  10. 前記第2レーザービームは、前記ウエハ表面温度をアニール温度まで上昇させ、また、
    ウエハ表面温度昇温量は、200℃から800℃の範囲にある請求項1に記載の方法。
  11. 前記アニール温度は1,300℃である請求項10に記載の方法。
  12. 前記第1レーザービームは、前記表面温度を500℃から1,100℃の範囲に上げる請求項1に記載の方法。
  13. 回路パターン付き表面および溶融温度を有する半導体基板の非溶融アニール方法であって、
    前記回路パターン付き表面の一部について、第1波長による第1反射性マップおよび第2波長による第2反射性マップを測定する工程、
    第1入射面に設定され、また、前記回路パターン付き表面を前記溶融温度以下で、かつ、アニール温度よりも低い予熱温度まで加熱する前記第1波長および第1強度を有する少なくとも1つの第1レーザービームで前記回路パターン付き表面を走査する工程、
    第2入射面に設定され、また、前記回路パターン付き表面を前記予熱温度から前記アニール温度まで加熱する前記第2波長および第2強度を有する少なくとも1つの第2レーザービームで前記第1レーザービームと関連しながら前記回路パターン付き表面を走査する工程、および
    エッジダメージおよびスリップ発生の少なくとも一方を最小化しつつ前記アニール温度まで達するように、前記第1および第2反射性マップに基づいて前記第1および第2強度を選択する工程を有する方法。
  14. 前記第1波長は赤外線波長であり、また、前記第2波長は前記第1波長と異なる請求項13に記載の方法。
  15. 前記第1レーザービームで前記回路パターン付き表面に予熱ライン像を規定する工程、および
    前記第2レーザービームで前記回路パターン付き表面にアニールライン像を規定する工程を有しており、
    前記アニールライン像は、前記予熱ライン像内に完全に収まっている請求項13に記載の方法。
  16. 前記パターン付き表面における領域のサイズに対応する長さを有するように1つの前記予熱ライン像および少なくとも1つのアニールライン像を用意する工程をさらに有する請求項15に記載の方法。
  17. 前記第1および第2レーザービームの走査中に前記第1レーザービームの前記第1強度および前記第2レーザービームの前記第2強度の少なくとも一方を変動させる工程をさらに有する請求項13に記載の方法。
  18. 前記第2レーザービームは、前記回路パターン付き表面温度を前記アニール温度まで上昇させ、また、
    前記回路パターン付き表面温度昇温量は、200℃から800℃の範囲にある請求項13に記載の方法。
  19. 前記アニール温度は、1,300℃である請求項18に記載の方法。
  20. 前記第1レーザービームは、前記回路パターン付き表面温度を500℃から1,100℃の範囲に昇温する請求項13に記載の方法。
  21. 回路パターン付き表面および溶融温度を有する半導体基板のアニール方法であって、
    前記回路パターン付きウエハ表面の一部における、第1波長での第1反射性マップおよび第2波長での第2反射性マップを測定する工程、
    前記第1および第2反射性マップを用いて、前記第1および第2波長をそれぞれ有し表面温度変動の量を最小化する第1レーザービームの第1強度および第2レーザービームの第2強度を規定する工程、および
    前記第1および第2強度を有する前記第1および第2レーザービームを用いて前記基板を溶融することなく前記半導体基板をアニールするために前記回路パターン付き表面の前記一部を照射する工程を有する方法。
  22. 第1波長での第1反射性マップおよび第2波長での第2反射性マップを用いて回路パターン表面を有し、ウエハの溶融温度以下のアニール温度を有する半導体ウエハをレーザーアニールするシステムであって、
    前記第1波長および第1強度を有する第1レーザービームを生成するとともに、第1入射面にある前記第1レーザービームで前記回路パターン付き表面を走査して前記回路パターン付き表面を予熱温度まで加熱する第1レーザーシステム、および
    前記第2波長および第2強度を有する第2レーザービームを生成するとともに、前記第1レーザービームの前記第1入射面に関連する第2入射面にある前記第2レーザービームで前記回路パターン付き表面を走査する第2レーザーシステムを有しており、
    前記第2レーザービームは、前記回路パターン付き表面を前記予熱温度から前記アニール温度に加熱し、
    前記第1および第2強度は、前記第2レーザービームのみを用いて前記ウエハ表面をアニールする場合に比べて前記第1および第2レーザービームの走査中における表面温度の変化量を低減させるために、前記第1および第2反射性マップに基づいて選択されるシステム。
  23. 前記第1レーザービームで前記回路パターン付き表面に予熱ライン像を規定する工程、および
    前記第2レーザービームで前記回路パターン付き表面にアニールライン像を規定する工程をさらに有しており、
    前記第1および第2レーザーシステムは、前記予熱ライン像内に前記アニールライン像が完全に収まるように構成されている請求項22に記載のシステム。
  24. 前記第1および第2レーザービームで走査したとき、前記第1および第2強度の少なくとも一方を変動させるように制御可能に配置されたコントローラをさらに有している請求項22に記載のシステム。
  25. 前記第1波長は赤外線波長であり、前記第2波長は前記第1波長と異なる請求項22に記載のシステム。
  26. 前記第2強度は、前記回路パターン付き表面温度を前記アニール温度まで昇温させるのに十分であり、
    前記回路パターン付き表面温度昇温量は、200℃から800℃の範囲にある請求項22に記載のシステム。

JP2012287204A 2012-01-27 2012-12-28 温度性能を向上させた、2本のビームによるレーザーアニール Active JP5800794B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/359,936 2012-01-27
US13/359,936 US8546805B2 (en) 2012-01-27 2012-01-27 Two-beam laser annealing with improved temperature performance

Publications (2)

Publication Number Publication Date
JP2013157600A JP2013157600A (ja) 2013-08-15
JP5800794B2 true JP5800794B2 (ja) 2015-10-28

Family

ID=48783827

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012287204A Active JP5800794B2 (ja) 2012-01-27 2012-12-28 温度性能を向上させた、2本のビームによるレーザーアニール

Country Status (7)

Country Link
US (2) US8546805B2 (ja)
JP (1) JP5800794B2 (ja)
KR (1) KR102056494B1 (ja)
CN (1) CN103268856B (ja)
DE (1) DE102013000993A1 (ja)
SG (1) SG192361A1 (ja)
TW (1) TWI517253B (ja)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8546805B2 (en) * 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance
KR20150009123A (ko) * 2013-07-15 2015-01-26 삼성전자주식회사 레이저를 이용하여 반도체를 가공하는 장치
US9472505B2 (en) * 2013-12-12 2016-10-18 Intel Corporation Die or substrate marking using a laser
TW201528379A (zh) * 2013-12-20 2015-07-16 Applied Materials Inc 雙波長退火方法與設備
US9343307B2 (en) * 2013-12-24 2016-05-17 Ultratech, Inc. Laser spike annealing using fiber lasers
KR102235601B1 (ko) 2014-05-29 2021-04-05 삼성디스플레이 주식회사 박막트랜지스터의 활성층 결정화 장치 및 그것을 이용한 결정화 방법
US9559023B2 (en) 2014-06-23 2017-01-31 Ultratech, Inc. Systems and methods for reducing beam instability in laser annealing
KR20160034112A (ko) * 2014-09-19 2016-03-29 삼성전자주식회사 반도체 소자의 저항성 결함을 검사하는 설비 및 검사 방법
US9613815B2 (en) * 2014-11-24 2017-04-04 Ultratech, Inc. High-efficiency line-forming optical systems and methods for defect annealing and dopant activation
US10083843B2 (en) * 2014-12-17 2018-09-25 Ultratech, Inc. Laser annealing systems and methods with ultra-short dwell times
US10016843B2 (en) * 2015-03-20 2018-07-10 Ultratech, Inc. Systems and methods for reducing pulsed laser beam profile non-uniformities for laser annealing
CN106158608B (zh) * 2015-03-27 2019-09-17 上海微电子装备(集团)股份有限公司 一种具有能量补偿的激光退火装置及退火方法
CN106158609B (zh) * 2015-03-31 2019-07-23 上海微电子装备(集团)股份有限公司 一种激光退火装置及其退火方法
DE102015114240A1 (de) * 2015-08-27 2017-03-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Vorrichtung und Verfahren zur Bearbeitung eines Halbleitersubstrats mittels Laserstrahlung
CN106935492B (zh) * 2015-12-30 2019-11-26 上海微电子装备(集团)股份有限公司 一种激光退火装置
CN106935491B (zh) * 2015-12-30 2021-10-12 上海微电子装备(集团)股份有限公司 一种激光退火装置及其退火方法
US20200105572A1 (en) * 2016-03-09 2020-04-02 Mitsubishi Electric Corporation Heat treatment device, heat treatment method, laser annealing device, and laser annealing method
US9916989B2 (en) * 2016-04-15 2018-03-13 Amkor Technology, Inc. System and method for laser assisted bonding of semiconductor die
CN107398634A (zh) * 2016-05-19 2017-11-28 上海新昇半导体科技有限公司 一种激光退火装置及激光退火方法
WO2018042414A1 (en) * 2016-08-28 2018-03-08 ACS Motion Control Ltd. Method and system for laser machining of relatively large workpieces
US11433486B2 (en) * 2016-11-03 2022-09-06 Semiconductor Energy Laboratory Co., Ltd. Laser processing apparatus, stack processing apparatus, and laser processing method
CN108406088B (zh) * 2017-02-10 2020-04-10 上海微电子装备(集团)股份有限公司 激光退火装置及其退火方法
KR102141830B1 (ko) * 2017-11-01 2020-08-06 위아코퍼레이션 주식회사 레이저를 이용한 도전성 물질 소결 장치 및 방법
WO2019088530A1 (ko) * 2017-11-01 2019-05-09 위아코퍼레이션 주식회사 레이저를 이용한 도전성 물질 소결 장치 및 방법
GB2571997B (en) 2018-03-16 2021-10-27 X Fab Texas Inc Use of wafer brightness to monitor laser anneal process and laser anneal tool
EP3774166A4 (en) * 2018-06-05 2022-01-19 Electro Scientific Industries, Inc. LASER PROCESSING DEVICE, METHOD OF OPERATION THEREOF AND METHOD OF PROCESSING WORKPIECES USING SAME
KR102546719B1 (ko) * 2018-09-04 2023-06-21 삼성전자주식회사 모니터링 장치 및 모니터링 방법
CN115903940A (zh) * 2023-01-06 2023-04-04 成都莱普科技股份有限公司 应用于激光退火系统的温度控制方法和激光退火系统

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1980001121A1 (en) 1978-11-28 1980-05-29 Western Electric Co Dual wavelength laser annealing of materials
US4234356A (en) 1979-06-01 1980-11-18 Bell Telephone Laboratories, Incorporated Dual wavelength optical annealing of materials
JPS56142630A (en) 1980-04-09 1981-11-07 Fujitsu Ltd Manufacture of semiconductor device
JP3211377B2 (ja) 1992-06-17 2001-09-25 ソニー株式会社 半導体装置の製造方法
JPH06140704A (ja) 1992-10-26 1994-05-20 Mitsubishi Electric Corp レーザ光照射装置
KR100255689B1 (ko) 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
WO1997001863A1 (fr) 1995-06-26 1997-01-16 Seiko Epson Corporation Procede de formation de film semi-conducteur cristallin, procede de production de transistor a couche mince, procede de production de cellules solaires et dispositif cristal liquide a matrice active
US6524977B1 (en) 1995-07-25 2003-02-25 Semiconductor Energy Laboratory Co., Ltd. Method of laser annealing using linear beam having quasi-trapezoidal energy profile for increased depth of focus
JP3348334B2 (ja) 1995-09-19 2002-11-20 ソニー株式会社 薄膜半導体装置の製造方法
KR0153823B1 (ko) 1995-10-17 1998-12-01 구자홍 반도체 소자 제조 방법
JP3301054B2 (ja) 1996-02-13 2002-07-15 株式会社半導体エネルギー研究所 レーザー照射装置及びレーザー照射方法
JPH1174536A (ja) 1997-01-09 1999-03-16 Sanyo Electric Co Ltd 半導体装置の製造方法
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
JPH10244392A (ja) 1997-03-04 1998-09-14 Semiconductor Energy Lab Co Ltd レーザー照射装置
TW466772B (en) 1997-12-26 2001-12-01 Seiko Epson Corp Method for producing silicon oxide film, method for making semiconductor device, semiconductor device, display, and infrared irradiating device
US5930617A (en) 1998-03-25 1999-07-27 Texas Instruments-Acer Incorporated Method of forming deep sub-micron CMOS transistors with self-aligned silicided contact and extended S/D junction
US6049220A (en) * 1998-06-10 2000-04-11 Boxer Cross Incorporated Apparatus and method for evaluating a wafer of semiconductor material
KR100430231B1 (ko) 1998-10-02 2004-07-19 엘지.필립스 엘시디 주식회사 레이저어닐장비
US6521501B1 (en) 1999-05-11 2003-02-18 Advanced Micro Devices, Inc. Method of forming a CMOS transistor having ultra shallow source and drain regions
TW544727B (en) 1999-08-13 2003-08-01 Semiconductor Energy Lab Method of manufacturing a semiconductor device
US6514339B1 (en) 1999-10-29 2003-02-04 Lg. Philips Co., Ltd. Laser annealing apparatus
JP4514861B2 (ja) 1999-11-29 2010-07-28 株式会社半導体エネルギー研究所 レーザ照射装置およびレーザ照射方法および半導体装置の作製方法
US6366308B1 (en) 2000-02-16 2002-04-02 Ultratech Stepper, Inc. Laser thermal processing apparatus and method
US6531681B1 (en) 2000-03-27 2003-03-11 Ultratech Stepper, Inc. Apparatus having line source of radiant energy for exposing a substrate
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6368947B1 (en) 2000-06-20 2002-04-09 Advanced Micro Devices, Inc. Process utilizing a cap layer optimized to reduce gate line over-melt
US6479821B1 (en) 2000-09-11 2002-11-12 Ultratech Stepper, Inc. Thermally induced phase switch for laser thermal processing
US6365476B1 (en) 2000-10-27 2002-04-02 Ultratech Stepper, Inc. Laser thermal process for fabricating field-effect transistors
US7015422B2 (en) 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
KR20040052468A (ko) 2001-11-12 2004-06-23 소니 가부시끼 가이샤 레이저 어닐 장치 및 박막 트랜지스터의 제조 방법
US7005601B2 (en) 2002-04-18 2006-02-28 Applied Materials, Inc. Thermal flux processing by scanning
US6987240B2 (en) 2002-04-18 2006-01-17 Applied Materials, Inc. Thermal flux processing by scanning
US6548361B1 (en) 2002-05-15 2003-04-15 Advanced Micro Devices, Inc. SOI MOSFET and method of fabrication
US6747245B2 (en) 2002-11-06 2004-06-08 Ultratech Stepper, Inc. Laser scanning apparatus and methods for thermal processing
US7304005B2 (en) 2003-03-17 2007-12-04 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus, laser irradiation method, and method for manufacturing a semiconductor device
JP4373115B2 (ja) 2003-04-04 2009-11-25 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7098155B2 (en) 2003-09-29 2006-08-29 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
TWI297521B (en) * 2004-01-22 2008-06-01 Ultratech Inc Laser thermal annealing of lightly doped silicon substrates
TWI272149B (en) * 2004-02-26 2007-02-01 Ultratech Inc Laser scanning apparatus and methods for thermal processing
WO2006011671A1 (en) 2004-07-30 2006-02-02 Semiconductor Energy Laboratory Co., Ltd. Laser irradiation apparatus and laser irradiation method
US7371361B2 (en) * 2004-11-03 2008-05-13 Kellogg Brown & Root Llc Maximum reaction rate converter system for exothermic reactions
US7279721B2 (en) * 2005-04-13 2007-10-09 Applied Materials, Inc. Dual wavelength thermal flux laser anneal
US7482254B2 (en) 2005-09-26 2009-01-27 Ultratech, Inc. Apparatus and methods for thermally processing undoped and lightly doped substrates without pre-heating
JP2007251015A (ja) * 2006-03-17 2007-09-27 Sumitomo Heavy Ind Ltd レーザアニール装置及びレーザアニール方法
US7514305B1 (en) * 2006-06-28 2009-04-07 Ultratech, Inc. Apparatus and methods for improving the intensity profile of a beam image used to process a substrate
US20080045040A1 (en) 2006-08-17 2008-02-21 Toshiba America Electronic Components, Inc. Laser Spike Anneal With Plural Light Sources
JP2009032859A (ja) * 2007-07-26 2009-02-12 Sumitomo Heavy Ind Ltd ビーム照射装置、及び、ビーム照射方法
US20090034071A1 (en) 2007-07-31 2009-02-05 Dean Jennings Method for partitioning and incoherently summing a coherent beam
JP5611212B2 (ja) * 2008-09-17 2014-10-22 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板のアニールにおける熱量の管理
US20100084744A1 (en) 2008-10-06 2010-04-08 Zafiropoulo Arthur W Thermal processing of substrates with pre- and post-spike temperature control
US8026519B1 (en) 2010-10-22 2011-09-27 Ultratech, Inc. Systems and methods for forming a time-averaged line image
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8546805B2 (en) * 2012-01-27 2013-10-01 Ultratech, Inc. Two-beam laser annealing with improved temperature performance

Also Published As

Publication number Publication date
JP2013157600A (ja) 2013-08-15
US8906742B2 (en) 2014-12-09
TWI517253B (zh) 2016-01-11
SG192361A1 (en) 2013-08-30
TW201332019A (zh) 2013-08-01
CN103268856A (zh) 2013-08-28
US20130196455A1 (en) 2013-08-01
DE102013000993A1 (de) 2013-08-01
KR20130087418A (ko) 2013-08-06
CN103268856B (zh) 2017-09-12
US20140004627A1 (en) 2014-01-02
US8546805B2 (en) 2013-10-01
KR102056494B1 (ko) 2019-12-16

Similar Documents

Publication Publication Date Title
JP5800794B2 (ja) 温度性能を向上させた、2本のビームによるレーザーアニール
CN103489812B (zh) 具超短驻留时间之雷射退火系统及方法
JP5053636B2 (ja) レーザーダイオード放射線によるレーザー熱処理
US8314360B2 (en) Apparatuses and methods for irradiating a substrate to avoid substrate edge damage
JP5094825B2 (ja) 低濃度ドープされたシリコン基板のレーザ熱アニール
KR101382994B1 (ko) 표면 반사도 변화의 최소화
JP5517396B2 (ja) 低濃度ドープシリコン基板のレーザー熱アニール
JP6054352B2 (ja) ファイバレーザーを使用したレーザースパイクアニーリング
US20030085730A1 (en) Apparatus and method for evaluating a wafer of semiconductor material
US20110298093A1 (en) Thermal Processing of Substrates with Pre- and Post-Spike Temperature Control
TWI475601B (zh) 形成時間平均線影像之系統及方法
KR20160073919A (ko) 체류시간이 단축된 레이저 어닐링 시스템 및 방법
TW201250854A (en) Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US7238915B2 (en) Methods and apparatus for irradiating a substrate to avoid substrate edge damage
CN110392618B (zh) 激光加工装置
JP5537615B2 (ja) 時間平均化ライン像を形成するシステム及び方法
US20130120737A1 (en) Apparatus and method to measure temperature of 3d semiconductor structures via laser diffraction
CN111433892B (zh) 卡盘板、退火装置及退火方法
JP2012084620A (ja) レーザ加工装置
US8071908B1 (en) Edge with minimal diffraction effects
US10359468B2 (en) Thermal laser stimulation apparatus, method of thermally stimulating, and non-transitory computer readable medium
Chen et al. Wafer temperature measurement and control during laser spike annealing
KR101564072B1 (ko) 레이저 열처리 장치 및 방법
KR20070017958A (ko) 저농도로 도핑된 실리콘 기판의 레이저 열 어닐링

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131010

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141104

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150120

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150824

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150825

R150 Certificate of patent or registration of utility model

Ref document number: 5800794

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250