JP5798442B2 - クロック分配回路及びクロック分配回路の形成方法 - Google Patents

クロック分配回路及びクロック分配回路の形成方法 Download PDF

Info

Publication number
JP5798442B2
JP5798442B2 JP2011232120A JP2011232120A JP5798442B2 JP 5798442 B2 JP5798442 B2 JP 5798442B2 JP 2011232120 A JP2011232120 A JP 2011232120A JP 2011232120 A JP2011232120 A JP 2011232120A JP 5798442 B2 JP5798442 B2 JP 5798442B2
Authority
JP
Japan
Prior art keywords
clock signal
feedback
feedback clock
circuit
clock
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011232120A
Other languages
English (en)
Other versions
JP2013090290A5 (ja
JP2013090290A (ja
Inventor
茂雄 河岡
茂雄 河岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP2011232120A priority Critical patent/JP5798442B2/ja
Priority to US13/603,755 priority patent/US8736339B2/en
Publication of JP2013090290A publication Critical patent/JP2013090290A/ja
Publication of JP2013090290A5 publication Critical patent/JP2013090290A5/ja
Application granted granted Critical
Publication of JP5798442B2 publication Critical patent/JP5798442B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/06Clock generators producing several clock signals
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/04Generating or distributing clock signals or signals derived directly therefrom
    • G06F1/10Distribution of clock signals, e.g. skew
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49579Watch or clock making

Description

本発明は、クロック分配回路及びクロック分配回路の形成方法に関するものである。
近年の半導体集積回路の微細化により、従来の半導体プロセスでは設計段階で考慮する必要が無かった新たな要因により生じる製造バラツキの問題が回路設計に大きな影響を及ぼすようになっている。あまり微細化が進んでいない世代のプロセスでは、一般に、ロットやウェハ、材料等のために生じる個体差の統計的分布をバラツキとして取り扱ってきた。
90nm世代以降の微細化が進んだプロセスでは、前述の個体差に加え電圧降下や加工精度によりチップ内のトランジスタや配線の電気特性に影響するケースもバラツキの要因として設計段階に考慮しなければならなくなった。即ち、これらバラツキの要因はタイミングの精度に影響するために、タイミングマージンを多く取るという設計制約を加えなければならないことになる。
特に、電気特性の違いはクロックスキューの直接の悪化要因になり、クロックの分岐以降が長いブロック間のIFのような場所では経路差に対して与えるバラツキ対策のタイミングマージンがサイクルタイム中の多くタイミングを使ってしまう。
このような状況に対し、クロックによる同期回路を設計する場合、PLLやDLL等の位相調整機構をチップ内に複数個利用して、クロックで駆動される末端の順序回路の位相を調整する方法として、例えば、特許文献1のような実装形態が取られる。
ここで、PLLやDLL等の位相調整機構としては、一般にフィードバックループの遅延を用いるが、クロックが駆動する末端の順序回路への個別に設計したのでは、位相調整が不十分になる可能性がある。
このため、特許文献1では、特定の順序回路へのクロック経路の一部をフィードバックループパスの共通の経路として利用している。
これ以外にも、複数のクロックツリーから出力される複数のフィードバックパスと基準クロックとの位相比較をおこない、各々のクロックの遅延調整をする構成がある(特許文献2)。また、DLLフィードバックの位相制御量を外部クロックと加算器によって調整する構成がある(特許文献3)。
特開2007−336003号公報 特開2008−010607号公報 特開2000−124795号公報
しかしながら、現在では更に微細化が進み、マスクパターンの露光や素子や配線を構成する薄膜の形成や研磨等の製造工程で起こるバラツキの問題を設計段階に考慮しなければならない状況が存在する。
即ち、全く同一の構造の素子や配線により回路をレイアウトしたとしても、配置される位置や周辺の回路の影響で製造段階に形状や電気特性が変わってしまうバラツキが無視できなくなってきた。この製造工程で起こるバラツキの影響は、システマティックな要因とランダムな要因との両方の要因が関係するため設計段階に正確に予測することが難しい。
ここで、従来のPLLやDLL等の位相調整機構のフィードバックループパスの形成方法では、単一のフィードバックループパスが製造工程のバラツキによりタイミングの問題を起こしてしまった場合、位相調整を行う構成がないために不良が発生し易くなる。
本発明は上記の問題を解決するためになされたものであり、フィードバックパスにトランジションフォルトが発生した場合に、複数のフィードバックパスを使ってフィードバックパスの遅延を調整することを目的とする。
上記の目的を達成するための本発明によるクロック分配回路は以下の構成を備える。即ち、
クロックツリーの分岐点を通じて分配されるクロック信号で動作する論理回路を有する半導体集積回路におけるクロック分配回路であって、
クロック信号が分配されるクロックツリーと、
前記クロックツリーの異なる分岐点から出力される複数のフィードバッククロック信号を受信し、各フィードバッククロック信号の位相差を検知する位相比較回路と、
前記位相比較回路によって検知した位相差に基づいて、前記半導体集積回路内の信号遅延バラツキを補正するためのバラツキ補正済フィードバッククロック信号を生成するフィードバッククロック信号生成回路と、
前記フィードバッククロック信号生成回路によって生成された前記バラツキ補正済フィードバッククロック信号と基準クロック信号との位相差が小さくなるように前記クロック信号を出力する位相調整回路とを有し、
前記フィードバッククロック信号生成回路は、前記複数のフィードバッククロック信号の各フィードバッククロック信号と基準クロック信号との遅延差から、平均遅延値を算出し、その算出した平均遅延値に従って中心特性となるフィードバッククロック信号を前記バラツキ補正済フィードバッククロック信号として生成することを特徴とする
本発明によれば、クロックツリー上に設けたフィードバックパスに高い確率でトランジションフォルトが発生しても、他のフィードバックパスを用いて遅延を調整することが可能となり、歩留まり低下を防ぐことができる。
実施形態1のクロック分配回路の概要構成図である。 実施形態1のフィードバッククロック信号生成回路の構成図である。 実施形態1のフィードバッククロック信号生成回路の動作を示す真理値表である。 実施形態2のフィードバッククロック信号生成回路の構成図である。 実施形態2の動作を示すフローチャートである。 実施形態2のフィードバッククロック信号生成回路の動作を示すタイミングチャートである。
以下、本発明の実施の形態について図面を用いて詳細に説明する。
<実施形態1>
以下に本発明に係るクロック分配回路、とりわけフィードバッククロック信号生成回路により複数のフィードバッククロック信号の位相差を検知し、中心特性に近いフィードバッククロック信号を選択する実施形態について説明する。ここで、この選択したフィードバッククロック信号は、半導体集積回路内の製造バラツキを補正したバラツキ補正済フィードバッククロック信号として生成することになる。
図1は実施形態1のクロック分配回路の構成図である。
クロック分配回路101は、半導体集積回路内に設けられ、外部クロック信号の周波数及び位相を参照信号として生成されたクロック信号を、複数の順序回路103、104、105、106及び107へ分配する。クロック分配回路101は、クロック分配網102と、位相比較回路108と、フィードバッククロック信号生成回路109と、位相調整回路110とを具備する。図2に示すように、実施形態1の位相比較回路108は、2入力の位相比較回路201、202及び203で構成される。また、フィードバッククロック信号生成回路109は、中心特性判定回路204とセレクタ205で構成される。
図3は実施形態1のフィードバッククロック信号生成回路の動作を示す真理値表である。以下より図1、図2及び図3を用いて本発明のフィードバッククロック信号生成回路の動作を説明する。
以下、実施形態1のシステムの動作について説明する。
位相調整回路110は、フィードバッククロック信号を基準クロック信号であるリファレンスクロック信号に同期するように位相を調整し、クロック信号としてクロック分配網102へ出力する。ここで、リファレンスクロック信号は、外部から位相調整回路110のリファレンスクロック端子に供給される外部クロック信号である。フィードバッククロック信号は、フィードバック用分岐点から位相調整回路110のフィードバッククロック端子127に供給されるクロック信号である。
実施形態1では、フィードバック用分岐点として分岐点111、112及び113の例を示している。ここで、フィードバック用分岐点をブロック間インターフェースのある順序回路のクロック端子近傍に設けるようにしても良い。
尚、順序回路103、104、105、106及び107は、フリップフロップ(FF)、レジスタ以外にクロックによって同期して出力する回路であれば良い。また、フィードバックパスの配線経路はクロックツリーの起点からフィードバックパスの分岐点までのクロックの配線経路の近傍に配置されるように形成されるものとする。
クロック分配網102は、位相調整回路110のクロック出力端子128から出力されたクロック信号を複数の順序回路103、104、105、106及び107に分配する。クロック分配網102は、クロックツリーを構成する配線と、その配線の途中に設けられた複数のクロックドライバ114〜123を備える。
図1の位相比較回路108は、図2に示すようにフィードバックパスに接続され、その本数に応じた個数の位相比較回路201、202及び203で構成される。実施形態1の場合、位相比較回路201、202及び203は、それぞれ2入力位相比較回路となっている。位相比較回路201はフィードバックパス124とフィードバックパス125から入力されるフィードバッククロック信号の位相を比較する。位相比較回路202はフィードバックパス124とフィードバックパス126から入力されるフィードバッククロック信号の位相を比較する。位相比較回路203はフィードバックパス125とフィードバックパス126から入力されるフィードバッククロック信号の位相を比較する。このようにして、位相比較回路108は、フィードバックパス124、125及び126から送信されたフィードバッククロック信号の位相の大小関係を得る。
図1のフィードバッククロック信号生成回路109は位相比較回路108と位相調整回路110との間に配置され、図2に示すように中心特性判定回路204とセレクタ205により構成される。中心特性判定回路204は位相比較回路108から送信されたフィードバッククロック信号の位相比較結果に基づいて、セレクタ205により中心特性に近いフィードバッククロック信号を選択し、バラツキ補正済フィードバッククロック信号として生成する。その後、選択したフィードバッククロック信号を出力端子206より位相調整回路110へ送信する。中心特性判定回路204は、図3の真理値表で示される論理回路で構成することができる。図3の真理値表は一例であり、他の真理値表で示される論理回路で実現しても構わない。
以上のような処理を行った後、位相調整回路110はフィードバッククロック信号生成回路109より送信されたフィードバッククロック信号を受信する。位相調整回路110は、フィードバッククロック信号を受信した後、クロック信号をリファレンスクロック信号に同期するように位相を調整し、クロック信号をクロック分配網102へ再出力する。換言すれば、位相調整回路110は、フィードバッククロック信号生成回路109によって生成されたフィードバッククロック信号とリファレンスクロック信号との位相差が小さくなるように、クロック信号を遅延する。
以上説明したように、実施形態1によれば、クロック分配回路によってクロック信号をクロック分配網に供給することにより、中心特性に近いクロック信号を分配することができる。従って、フィードバックパスにトランジションフォルトが発生して特性にばらつきが発生した場合に歩留まりを低下させることなく、クロック遅延の調整ができる。
<実施形態2>
実施形態2では、フィードバッククロック信号生成回路によって複数のフィードバッククロック信号の位相差を検知し、中心特性となるフィードバッククロック信号(バラツキ補正済フィードバッククロック信号)を生成する場合の構成について説明する。実施形態1と異なる点は、位相比較回路108とフィードバッククロック信号生成回路109の内部構成である。
図4に示すように、実施形態2の位相比較回路108は遅延算出回路401、402及び403によって構成され、フィードバッククロック信号生成回路109は平均値算出回路404によって構成される。
図5は実施形態2のフィードバッククロック信号生成回路の動作を示すフローチャートである。図6は実施形態2のフィードバッククロック信号生成回路の動作を示すタイミングチャートである。図4、図5及び図6を用いて本発明のフィードバッククロック信号生成回路の動作を説明する。
ステップS501において、遅延算出回路401は、リファレンスクロック405とフィードバックパス124から入力されるフィードバッククロック信号の遅延差(位相差)を検出する。遅延差は各々の信号の立ち上がりエッジ、立ち下がりエッジ双方について検出する。遅延算出回路401の出力信号406及び407の波形はそれぞれ図6に示す601及び605のようになる。また、遅延算出回路402は、リファレンスクロック405とフィードバックパス125から入力されるフィードバッククロック信号の遅延差を検出する。遅延算出回路402の出力信号408及び409の波形はそれぞれ図6に示す602及び606のようになる。更に、遅延算出回路403は、リファレンスクロック405とフィードバックパス126から入力されるフィードバッククロック信号の遅延差を検出する。遅延算出回路403の出力信号410及び411の波形はそれぞれ図6に示す603及び607のようになる。
ステップS502において、平均値算出回路404は、遅延算出回路401、402及び403によって検出されたリファレンスクロック405と各フィードバッククロック信号との遅延差から、遅延差(位相差)の平均値を算出する。遅延差の平均値は、クロック信号の立ち上がりエッジ、立ち下がりエッジ双方について算出される。その後、算出した立ち上がりエッジの遅延差の平均値、立ち下がりエッジの遅延差の平均値に従って中心特性となる波形を有するフィードバッククロック信号をバラツキ補正済フィードバッククロック信号として生成する。
平均値算出回路404の具体的な動作について、図6を用いて説明する。遅延算出回路401の出力信号601、遅延算出回路402の出力信号602、遅延算出回路403の出力信号603より、立ち上がり遅延平均値604を得る。遅延算出回路401の出力信号605、遅延算出回路402の出力信号606、遅延算出回路403の出力信号607より、立ち下がり遅延平均値608を得る。その後、立ち上がり遅延平均値604を示す信号と立ち下がり遅延平均値608を示す信号の排他的論理和より出力信号609を得る。出力信号609はフィードバッククロック信号として、位相調整回路110に入力される。
尚、ここで得られた遅延算出結果よりトランジションフォルトしたフィードバックパスを検出しても良い。即ち、ステップS503において、遅延差の標準偏差を算出して、平均遅延値と比較して大幅に遅延値に差(一定値以上の差)があるか否かを判定する(ステップS504)。特に、一定値以上の差がある場合(ステップS504でYES)、トランジションフォルトが発生したと判定する。この場合、遅延が大きいフィードバックパスを平均遅延値算出の対象外として、残りのフィードバックパスを選択して(ステップS505)、対応するフィードバッククロック信号についての平均遅延値を再算出する(ステップS506)。そして、その再算出した遅延差の平均値に従ってフィードバッククロック信号(バラツキ補正済フィードバッククロック信号)を生成する(ステップS507)。
位相調整回路110は、フィードバッククロック信号を受信した後、クロック信号をリファレンスクロック信号に同期するように位相を調整し、クロック信号をクロック分配網102へ再出力する。換言すれば、位相調整回路110は、フィードバッククロック信号生成回路109によって生成されたフィードバッククロック信号とリファレンスクロック信号との位相差が小さくなるように、クロック信号を遅延する。
以上説明したように、実施形態2によれば、クロック分配回路によれば、フィードバックパスにトランジションフォルトが発生した場合に歩留まりを低下させることなく、クロック遅延の調整ができる。
<実施形態3>
実施形態1あるいは実施形態2のクロック分配回路を形成する場合には、以下のような処理を実行することになる。
複数のフィードバッククロック信号のフィードバックパスの配線経路を、クロックツリーの起点から前記フィードバックパスの分岐点までのクロックの配線経路の近傍に配置する。複数のフィードバッククロック信号のフィードバックパスを位相比較回路108に接続する。位相比較回路108と位相調整回路110との間にフィードバッククロック信号生成回路109を配置する。

Claims (7)

  1. クロックツリーの分岐点を通じて分配されるクロック信号で動作する論理回路を有する半導体集積回路におけるクロック分配回路であって、
    クロック信号が分配されるクロックツリーと、
    前記クロックツリーの異なる分岐点から出力される複数のフィードバッククロック信号を受信し、各フィードバッククロック信号の位相差を検知する位相比較回路と、
    前記位相比較回路によって検知した位相差に基づいて、前記半導体集積回路内の信号遅延バラツキを補正するためのバラツキ補正済フィードバッククロック信号を生成するフィードバッククロック信号生成回路と、
    前記フィードバッククロック信号生成回路によって生成された前記バラツキ補正済フィードバッククロック信号と基準クロック信号との位相差が小さくなるように前記クロック信号を出力する位相調整回路とを有し、
    前記フィードバッククロック信号生成回路は、前記複数のフィードバッククロック信号の各フィードバッククロック信号と基準クロック信号との遅延差から、平均遅延値を算出し、その算出した平均遅延値に従って中心特性となるフィードバッククロック信号を前記バラツキ補正済フィードバッククロック信号として生成する
    ことを特徴とするクロック分配回路。
  2. 前記位相比較回路は、前記複数のフィードバッククロック信号の各フィードバッククロック信号の位相を比較して、位相差を検知する
    ことを特徴とする請求項1に記載のクロック分配回路。
  3. 前記フィードバッククロック信号生成回路は、前記複数のフィードバッククロック信号の位相差に基づいて、該複数のフィードバッククロック信号の内、中心特性に近いフィードバッククロック信号を選択して前記バラツキ補正済フィードバッククロック信号として生成する
    ことを特徴とする請求項1または2に記載のクロック分配回路。
  4. 前記位相比較回路は、前記複数のフィードバッククロック信号の各フィードバッククロック信号と基準クロック信号との遅延差を比較することで、各フィードバッククロック信号の位相差を検知する
    ことを特徴とする請求項1に記載のクロック分配回路。
  5. 前記フィードバッククロック信号生成回路は、前記複数のフィードバッククロック信号の各フィードバッククロック信号と基準クロック信号との遅延差の内、前記平均遅延値と一定値以上の差がある遅延差がある場合、その遅延差を除く、残りの遅延差で平均遅延値を再算出して、その再算出した平均遅延値に従って中心特性となるフィードバッククロック信号を前記バラツキ補正済フィードバッククロック信号として生成する
    ことを特徴とする請求項に記載のクロック分配回路。
  6. 前記複数のフィードバッククロック信号のフィードバックパスの配線経路は、前記クロックツリーの起点から前記フィードバックパスの分岐点までのクロックの配線経路の近傍に配置される
    ことを特徴とする請求項1乃至のいずれか1項に記載のクロック分配回路。
  7. 請求項1に記載のクロック分配回路の形成方法であって、
    前記複数のフィードバッククロック信号のフィードバックパスの配線経路を、前記クロックツリーの起点から前記フィードバックパスの分岐点までのクロックの配線経路の近傍に配置する工程と、
    前記複数のフィードバッククロック信号のフィードバックパスを前記位相比較回路に接続する工程と、
    前記位相比較回路と前記位相調整回路との間に前記フィードバッククロック信号生成回路を配置する工程と
    を有することを特徴とするクロック分配回路の形成方法。
JP2011232120A 2011-10-21 2011-10-21 クロック分配回路及びクロック分配回路の形成方法 Active JP5798442B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2011232120A JP5798442B2 (ja) 2011-10-21 2011-10-21 クロック分配回路及びクロック分配回路の形成方法
US13/603,755 US8736339B2 (en) 2011-10-21 2012-09-05 Clock distribution circuit and method of forming clock distribution circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2011232120A JP5798442B2 (ja) 2011-10-21 2011-10-21 クロック分配回路及びクロック分配回路の形成方法

Publications (3)

Publication Number Publication Date
JP2013090290A JP2013090290A (ja) 2013-05-13
JP2013090290A5 JP2013090290A5 (ja) 2014-11-27
JP5798442B2 true JP5798442B2 (ja) 2015-10-21

Family

ID=48135459

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011232120A Active JP5798442B2 (ja) 2011-10-21 2011-10-21 クロック分配回路及びクロック分配回路の形成方法

Country Status (2)

Country Link
US (1) US8736339B2 (ja)
JP (1) JP5798442B2 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9523736B2 (en) 2014-06-19 2016-12-20 Nuvoton Technology Corporation Detection of fault injection attacks using high-fanout networks
US9397663B2 (en) 2014-07-22 2016-07-19 Winbond Electronics Corporation Fault protection for high-fanout signal distribution circuitry
US9397666B2 (en) * 2014-07-22 2016-07-19 Winbond Electronics Corporation Fault protection for clock tree circuitry
US10013581B2 (en) 2014-10-07 2018-07-03 Nuvoton Technology Corporation Detection of fault injection attacks
US9471094B1 (en) * 2014-12-30 2016-10-18 Cadence Design Systems, Inc. Method of aligning timing of a chip select signal with a cycle of a memory device
CN107300948A (zh) * 2016-04-14 2017-10-27 飞思卡尔半导体公司 具有多位时钟门控单元的集成电路
US10254782B2 (en) * 2016-08-30 2019-04-09 Micron Technology, Inc. Apparatuses for reducing clock path power consumption in low power dynamic random access memory
US11366899B2 (en) 2020-02-18 2022-06-21 Nuvoton Technology Corporation Digital fault injection detector

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5406590A (en) * 1992-10-23 1995-04-11 Compaq Computer Corporation Method of and apparatus for correcting edge placement errors in multiplying phase locked loop circuits
JPH06273478A (ja) * 1993-03-20 1994-09-30 Hitachi Ltd クロックスキュー補正回路、及び半導体集積回路
JP3180780B2 (ja) 1998-10-13 2001-06-25 日本電気株式会社 デジタルdll回路
US6608530B1 (en) * 2001-12-14 2003-08-19 Cypress Semiconductor Corp. Enhanced ZDB feedback methodology utilizing binary weighted techniques
US6737902B2 (en) * 2002-05-16 2004-05-18 Sun Microsystems, Inc. Method and a system to distribute clock signals in digital circuits
US7098714B2 (en) * 2003-12-08 2006-08-29 Micron Technology, Inc. Centralizing the lock point of a synchronous circuit
KR100705502B1 (ko) * 2005-12-10 2007-04-09 한국전자통신연구원 클록 편차를 제거하는 클록 발생 장치 및 클록 수신 장치
JP2007336003A (ja) 2006-06-12 2007-12-27 Nec Electronics Corp クロック分配回路、半導体集積回路、クロック分配回路の形成方法及びそのプログラム
JP2008010607A (ja) 2006-06-29 2008-01-17 Nec Computertechno Ltd 半導体集積回路およびクロックスキュー低減方法
JP2010273286A (ja) * 2009-05-25 2010-12-02 Renesas Electronics Corp クロック分配回路およびクロック分配方法

Also Published As

Publication number Publication date
US8736339B2 (en) 2014-05-27
US20130099844A1 (en) 2013-04-25
JP2013090290A (ja) 2013-05-13

Similar Documents

Publication Publication Date Title
JP5798442B2 (ja) クロック分配回路及びクロック分配回路の形成方法
JP6242228B2 (ja) クロック生成方法およびクロック生成回路
JP4919909B2 (ja) 半導体装置
US9898035B2 (en) Clock synchronization method
US8766688B2 (en) DLL circuit and delay-locked method using the same
JP6783535B2 (ja) クロック補正装置及びクロック補正方法
JP4079733B2 (ja) 位相同期ループ回路
JP2005149313A (ja) 半導体集積回路の設計方法および半導体集積回路
JP2006041129A (ja) 半導体集積回路
JP2010233018A (ja) クロック分配回路及びクロックスキュー調整方法
JP2010273132A (ja) タイミング調整回路、タイミング調整方法及び補正値算出方法
JP2007228145A (ja) 半導体集積回路
JP3652277B2 (ja) 遅延同期回路用遅延調整回路
JP3869406B2 (ja) クロック位相差検出回路、クロック分配回路、及び大規模集積回路
US8710892B2 (en) Clock distribution circuit
JP5580763B2 (ja) 半導体集積回路
JP2005038159A (ja) 半導体装置及びクロックスキュー調整方法
JP4611015B2 (ja) 半導体集積回路装置
JP6111739B2 (ja) クロックスキュー補正回路、その補正方法、及びクロック分配装置
JP3867653B2 (ja) 半導体集積回路
JP2004199705A (ja) 集積回路のためのクロック生成装置および生成方法
JP2009187110A (ja) クロック分配回路の設計方法
JP2004266034A (ja) 半導体集積回路、および半導体集積回路の設計方法
JP2007110762A (ja) 半導体装置
JP2004302819A (ja) 半導体集積回路のレイアウト設計方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141014

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141014

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150528

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150601

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150702

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150724

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150821

R151 Written notification of patent or utility model registration

Ref document number: 5798442

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R151