JP5736674B2 - 半導体集積回路 - Google Patents

半導体集積回路 Download PDF

Info

Publication number
JP5736674B2
JP5736674B2 JP2010132342A JP2010132342A JP5736674B2 JP 5736674 B2 JP5736674 B2 JP 5736674B2 JP 2010132342 A JP2010132342 A JP 2010132342A JP 2010132342 A JP2010132342 A JP 2010132342A JP 5736674 B2 JP5736674 B2 JP 5736674B2
Authority
JP
Japan
Prior art keywords
signal
flip
flop
circuit
multiplexer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2010132342A
Other languages
English (en)
Other versions
JP2011257278A (ja
Inventor
祐紀 尾形
祐紀 尾形
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP2010132342A priority Critical patent/JP5736674B2/ja
Priority to US13/153,681 priority patent/US8539327B2/en
Publication of JP2011257278A publication Critical patent/JP2011257278A/ja
Application granted granted Critical
Publication of JP5736674B2 publication Critical patent/JP5736674B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F11/00Error detection; Error correction; Monitoring
    • G06F11/22Detection or location of defective computer hardware by testing during standby operation or during idle time, e.g. start-up testing
    • G06F11/26Functional testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/31725Timing aspects, e.g. clock distribution, skew, propagation delay

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Quality & Reliability (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

本発明は、半導体集積回路に関する。
集積回路の微細化に伴い、回路内で遅延故障(信号の遅延により回路に誤動作が発生すること)を引き起こす抵抗性断線が多発する可能性が高まっている。抵抗性断線は、完全な断線とは異なり、信号の伝達は可能な状態であるため、出荷時には正常に動作しているように見える回路であっても、出荷後の使用によって、回路の動作に対する影響が顕著となる場合がある。したがって、出荷前において十分除去される必要がある。
従来、集積回路に対して現在広く用いられている検査法として、ATPG(automatic test pattern generator)等のテストパターン自動生成ツールを利用した論理値テスト法がある。また、回路の検査に関する技術として、例えば、特許文献1及び2等が開示されている。
特開2007−139603号公報 特開2007−263790号公報
しかしながら、ATPGを用いた論理値テスト法は、或る素子の入力又は出力論理値が0又は1の固定状態となってしまう縮退故障に対しては有効であるが、遅延故障の検出には適さない。ATPGは、機能レベルの回路情報からテストパターンを生成しており、そもそも遅延故障を想定していないからである。
1つの側面では、本発明は、遅延故障を適切に検出することのできる半導体集積回路の提供を目的とする。
半導体集積回路は、当該半導体集積回路の被検査箇所への入力側の信号と出力側の信号とを入力とする排他論理和回路と、前記排他論理和回路の出力信号とクロック信号とを入力とする第一のマルチプレクサと、前記第一のマルチプレクサの出力信号に同期させて入力信号が示す値を記憶し、記憶している値を出力するフリップフロップとを含む検査用回路を有する。
遅延故障を適切に検出することも可能となる。
本発明の実施の形態における半導体集積回路の概略構成例を示す図である。 本発明の実施の形態における検査用回路の構成例を示す図である。 初期化手順を説明するための図である。 テストパターン入力手順を説明するための図である。 検出結果出力手順を説明するための図である。
以下、図面に基づいて本発明の実施の形態を説明する。図1は、本発明の実施の形態における半導体集積回路の概略構成例を示す図である。同図において、半導体集積回路1は、1以上の検査用回路10を内蔵する(含む)。検査用回路10は、半導体集積回路1の機能に寄与又は貢献しない冗長回路である。すなわち、検査用回路10は、半導体集積回路1内において検査用回路10以外の箇所(被検査箇所)について主として抵抗性断線による遅延故障を検出するための回路である。
図2は、本発明の実施の形態における検査用回路の構成例を示す図である。同図には、検査用回路10a、10b、・・・10x等の複数の検査用回路10が示されている。各検査用回路10は、それぞれ異なる被検査箇所に対応して設けられている。例えば、検査用回路10aは、配線L1を被検査箇所とする。他の検査用回路10は、非図示の配線を被検査箇所とする。抵抗性断線が発生する可能性の有る箇所が被検査箇所として選択される。
各検査用回路10は、排他論理和回路(XOR回路)11、マルチプレクサ(MUX)12、及びフリップフロップ(FF)13等を構成要素として含む。各検査用回路10の構成要素を区別する場合、各構成要素の参照番号には、各検査用回路10の参照番号の末尾のアルファベット(a、b、・・・、x)が付与されて記される。
排他論理和回路11は、被検査箇所における入力側の信号(入力信号Va)と出力側との信号(出力信号Vb)とを入力とし、二つの信号によって示される値の排他論理和を示す信号Vcを出力する。マルチプレクサ12は、排他論理和回路11の出力信号Vcとクロック信号CLKとを入力とし、制御入力SELに応じて、入力された信号を選択的に出力する。フリップフロップ13は、データ入力端子D、クロック端子C、及びデータ出力端子Q等を有するD型のフリップフロップである。クロック端子Cには、マルチプレクサ12の出力信号が入力される。したがって、フリップフロップ13は、マルチプレクサ12の出力信号の立ち上がりに同期させて、データ入力信号Iが示す値をデータ入力端子Dより取り込んで記憶し、それまで記憶していた値を示す信号をデータ出力端子Qより出力する。
同図において、複数の検査用回路10の複数のフリップフロップ13は、シフトレジスタを構成している。すなわち、各フリップフロップ13に記憶されているデータが、シフトしていくようにカスケード接続されている。当該シフトレジスタにおいて、前段(入力側)のフリップフロップ13のデータ出力端子Qと、後段(出力側)のフリップフロップ13の入力端子Dとの間には、マルチプレクサ14が配置されている。例えば、フリップフロップ13aとフリップフロップ13bとの間には、マルチプレクサ14bが配置されている。マルチプレクサ14は、前段のフリップフロップ13からの出力信号と、データ入力信号Iとを入力とし、制御用入力SELに応じて、入力された信号を選択的に出力とする。マルチプレクサ14より出力された信号は、後段のフリップフロップ13のデータ入力端子Dに入力される。
なお、同図には、複数の検査用回路10が示されているが、半導体集積回路1の被検査箇所を一つとする場合は、一つの検査用回路10が半導体集積回路1に含まれていればよい。
以下、検査用回路10を利用した、半導体集積回路1の抵抗性断線の検出手順について説明する。当該検出手順は、初期化手順、テストパターン入力手順、及び検出結果出力手順を有する。
図3は、初期化手順を説明するための図である。初期化手順において、データ入力信号Iの値は、「0」とされる。したがって、0を示すデータ入力信号Iが、初段のフリップフロップ13aに印加(入力)される。また、各マルチプレクサ12には、図中右上に示されるようなクロック信号CLKを出力させるための制御入力SELが入力される。更に、各マルチプレクサ14には、前段のフリップフロップ13からの出力信号を出力させるための制御入力SELが入力される。
その結果、データ入力信号Iの値(「0」)が、クロック信号CLKの立ち上がりに応じて各フリップフロップ13をシフトしていき、最後(最も後段)のフリップフロップ13xのデータ出力端子Qより出力される。したがって、各フリップフロップ13には「0」が記録された状態となる。また、フリップフロップ13xのデータ出力端子Qからの出力信号のパターンを観察することにより、各検査用回路10に異常が無いことを確認することができる。すなわち、「0」が連続して検出されれば、各検査用回路10には異常が無いと判断することができる。
なお、初期化手順において、データ入力信号Iは、常に「0」又は常に「1」とする。いずれの場合であっても、データ入力信号Iの入力パターンと、フリップフロップ10xの出力端子Qからの出力パターンとが一致しているか否かによって、検査用回路10の異常の有無を検出することができるからである。但し、初期化手順の終了時に、全てのフリップフロップ13には、「0」又は「1」のいずれか一方の共通の値が記録されている状態とする。本実施の形態では、初期化手順の終了時に全てのフリップフロップ13には「0」が記録される。
続いて、図4は、テストパターン入力手順を説明するための図である。テストパターン入力手順では、半導体集積回路1に対してテストパターンが印加される。テストパターンは、検査用の信号(検査用信号)である。例えば、ATPG(automatic test pattern generator)で生成されたテストパターンが用いられてもよい。但し、信号の値に変化が有るパターンであれば所定のパターンに限定されない。
また、データ入力信号Iとして、「1」を示す信号が検査用回路10に印加される。データ入力信号Iの値(「1」)は、テストパターン入力手順において一定とされる。また、各マルチプレクサ12には、排他論理和回路11からの出力信号を出力させるための制御入力SELが入力される。更に、各マルチプレクサ14には、データ入力信号Iを出力させるための制御入力SELが入力される。
仮に、配線L1において抵抗性断線が発生していた場合、図中右上に示されるように、入力信号Vaと出力信号Vbとの間に信号のずれが発生する。排他論理和回路11aは、当該ずれの期間において、「1」を示す出力信号Vc出力する。
その結果、出力信号Vcの立ち上がりに応じて、フリップフロップ13aは、データ入力信号Iの値である「1」を記憶する。他の被検査箇所において抵抗性断線が発生していた場合、他の検査用回路10においても、同様の動作が行われる。
テストパターンの印加が終了すると、テストパターン入力手順は終了する。上記より明らかなように、抵抗性断線が検出された被検査箇所に対応する検査用回路10のフリップフロップ13は、テストパターン入力手順の終了時において、「1」を記憶した状態となる。
続いて、図5は、検出結果出力手順を説明するための図である。検出結果出力手順において、データ入力信号Iの値は、「0」とされる。したがって、0を示すデータ入力信号Iが、初段のフリップフロップ13aに印加(入力)される。また、各マルチプレクサ12には、図中右上に示されるようなクロック信号CLKを出力させるための制御入力SELが入力される。更に、各マルチプレクサ14には、前段のフリップフロップ13からの出力信号を出力させるための制御入力SELが入力される。
その結果、各フリップフロップ13に記録されていた値が、クロック信号CLKの立ち上がりに応じて後段のフリップフロップ13にシフトしていき、最後(最も後段)のフリップフロップ13xのデータ出力端子Qより順番に出力される。当該データ出力端子Qからの出力信号Oを観察することにより、抵抗性断線の有無を検出することができ、当該抵抗性断線の箇所を特定することができる。すなわち、「1」を示す出力信号Oが検出された場合、抵抗性断線が発生していることになる。また、「1」が出力されるタイミング(何番目に「1」が出力されたか)によって、抵抗性断線を検出した検査用回路10を特定することができ、ひいては、被検査箇所を特定することができる。
なお、初期化手順の終了時において、各フリップフロップ13の値が「1」に初期化される場合は、テストパターン入力手順におけるデータ入力信号Iの値を「0」とし、検出結果出力手順におけるデータ入力信号Iの値を「1」とすればよい。すなわち、テストパターン入力手順におけるデータ入力信号Iの値は、フリップフロップ13の初期値と異なる値とすればよい。また、検出結果出力手順におけるデータ入力信号Iの値は、フリップフロップ13の初期値と同じ値とすればよい。
上述したように、本実施の形態によれば、半導体集積回路における遅延故障を適切に検出することができる。また、テストパターンは、所定のものに限定されない。したがって、例えば、ATPGによって生成されたテストパターンを用いることにより、従来から広く用いられている論理値テスト(主に縮退故障を検出するためのテスト)を実施しながら遅延故障に関する検査を行うことができる。
また、半導体集積回路10の任意の箇所を被検査箇所とすることができる。
以上、本発明の実施例について詳述したが、本発明は斯かる特定の実施形態に限定されるものではなく、特許請求の範囲に記載された本発明の要旨の範囲内において、種々の変形・変更が可能である。
1 半導体集積回路
10 検査用回路
11 排他論理和回路
12、14 マルチプレクサ
13 フリップフロップ

Claims (3)

  1. それぞれ異なる被検査箇所に対応する複数の検査用回路を有する半導体集積回路であって、
    前記検査用回路は、
    被検査箇所への入力側の信号と出力側の信号とを入力とする排他論理和回路と、
    前記排他論理和回路の出力信号とクロック信号とを入力とする第一のマルチプレクサと、
    前記第一のマルチプレクサの出力信号に同期させて、入力される入力信号が示す値を記憶するとともに、記憶している値を出力するフリップフロップとを有し、
    複数の前記検査用回路の前記フリップフロップは、シフトレジスタを構成し、
    前記シフトレジスタにおいて前段の前記フリップフロップの出力信号と前記入力信号とを入力する第二のマルチプレクサを有し、
    後段の前記フリップフロップは、前記第一のマルチプレクサの出力信号に同期させて前記第二のマルチプレクサの出力信号が示す値を記憶する半導体集積回路。
  2. 検査用信号の印加時において、前記フリップフロップが前記検査用信号印加前に記憶する第一の値とは異なる第二の値を示す前記入力信号が前記フリップフロップに入力され、前記第一のマルチプレクサは、前記排他論理和回路の出力信号を出力し、
    前記検査用信号の印加終了後において、前記第一のマルチプレクサは、前記クロック信号を出力する請求項1に記載の半導体集積回路。
  3. 前記第二のマルチプレクサは、検査用信号の印加時において前記入力信号を出力し、前記検査用信号の印加停止後において前記前段のフリップフロップの出力信号を出力する請求項1又は2記載の半導体集積回路。
JP2010132342A 2010-06-09 2010-06-09 半導体集積回路 Expired - Fee Related JP5736674B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2010132342A JP5736674B2 (ja) 2010-06-09 2010-06-09 半導体集積回路
US13/153,681 US8539327B2 (en) 2010-06-09 2011-06-06 Semiconductor integrated circuit for testing logic circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2010132342A JP5736674B2 (ja) 2010-06-09 2010-06-09 半導体集積回路

Publications (2)

Publication Number Publication Date
JP2011257278A JP2011257278A (ja) 2011-12-22
JP5736674B2 true JP5736674B2 (ja) 2015-06-17

Family

ID=45097231

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010132342A Expired - Fee Related JP5736674B2 (ja) 2010-06-09 2010-06-09 半導体集積回路

Country Status (2)

Country Link
US (1) US8539327B2 (ja)
JP (1) JP5736674B2 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9188642B2 (en) 2013-08-23 2015-11-17 Qualcomm Incorporated Reconfigurable memory interface circuit to support a built-in memory scan chain

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4542505A (en) * 1983-11-14 1985-09-17 Burroughs Corporation Adjustable system for skew comparison of digital signals
JPH05196680A (ja) * 1992-01-23 1993-08-06 Mazda Motor Corp 断線誤動作防止回路
JPH05256913A (ja) * 1992-03-11 1993-10-08 Oki Electric Ind Co Ltd 半導体集積回路装置
US5436908A (en) * 1992-06-17 1995-07-25 National Semiconductor Corporation Common edge output skew detection circuit
JP3387379B2 (ja) * 1997-09-01 2003-03-17 富士通株式会社 パラレルデータスキュー検出回路
JP3715429B2 (ja) * 1998-04-16 2005-11-09 富士通株式会社 パラレル光送信/光受信モジュール
JP2001051019A (ja) * 1999-08-09 2001-02-23 Nec Home Electronics Ltd バウンダリスキャンセル回路
US6594797B1 (en) * 2000-03-09 2003-07-15 Xilinx, Inc. Methods and circuits for precise edge placement of test signals
JP4130417B2 (ja) * 2004-02-27 2008-08-06 株式会社東芝 半導体集積回路及びその試験方法
US20060068054A1 (en) * 2004-09-30 2006-03-30 Kevin Gearhardt Technique for high-speed TDF testing on low cost testers using on-chip or off-chip circuitry for RapidChip and ASIC devices
JP4335202B2 (ja) 2005-11-18 2009-09-30 パナソニック株式会社 半導体集積回路の検査方法およびデザインルール検証方法
US7480838B1 (en) * 2006-03-23 2009-01-20 Intel Corporation Method, system and apparatus for detecting and recovering from timing errors
JP2007263790A (ja) 2006-03-29 2007-10-11 Nec Electronics Corp 半導体集積回路装置、及び、遅延故障試験方法
JP2008058098A (ja) * 2006-08-30 2008-03-13 Oki Electric Ind Co Ltd 半導体集積回路
US7659749B2 (en) * 2007-10-22 2010-02-09 International Business Machines Corporation Pulsed dynamic logic environment metric measurement circuit
US20090295613A1 (en) * 2008-05-29 2009-12-03 Board Of Regents, The University Of Texas System Performing analog-to-digital conversion by computing delay time between traveling waves in transmission lines
JP2010027694A (ja) * 2008-07-15 2010-02-04 Sanyo Electric Co Ltd 半導体集積回路並びにその設計装置及び設計プログラム

Also Published As

Publication number Publication date
US20110307753A1 (en) 2011-12-15
JP2011257278A (ja) 2011-12-22
US8539327B2 (en) 2013-09-17

Similar Documents

Publication Publication Date Title
JP5256840B2 (ja) 論理回路
JP5032395B2 (ja) テスト条件の生成方法およびテスト条件生成装置
US11120187B1 (en) Semiconductor integrated circuit, circuit designing apparatus, and circuit designing method
JP2011058847A (ja) 半導体集積回路装置
JP5736674B2 (ja) 半導体集積回路
US20040153806A1 (en) Technique for testability of semiconductor integrated circuit
US8671317B2 (en) Built-in self test circuit and designing apparatus
JP4130417B2 (ja) 半導体集積回路及びその試験方法
JP5160039B2 (ja) 半導体装置及びそのテスト回路の追加方法
JP2010038733A (ja) 半導体集積回路
JP2009122009A (ja) テスト回路
JP2017059185A (ja) スキャンテスト回路及びスキャンテスト装置
Omaña et al. Scalable approach for power droop reduction during scan-based logic BIST
JP2006010655A (ja) 試験パターン生成装置、テスト回路試験装置、試験パターン生成方法、テスト回路試験方法、試験パターン生成プログラム、テスト回路試験プログラム、および記録媒体
JP5727358B2 (ja) 半導体装置
JP2010040092A (ja) 半導体集積回路
US7925944B2 (en) Semiconductor device
JP2006004509A (ja) 半導体集積回路およびハードマクロ回路
JP4817646B2 (ja) カスタムic検証装置および検証方法
JP2010181360A (ja) 半導体集積回路、及び半導体集積回路の試験方法、及び半導体集積回路の設計方法
JP5499528B2 (ja) 半導体集積回路及び電子機器
JP6413777B2 (ja) 電子回路装置及び試験装置
US20080092006A1 (en) Optimizing a Set of LBIST Patterns to Enhance Delay Fault Coverage
US7401106B2 (en) Maximum change data pattern
JP2008134067A (ja) 半導体集積回路

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20130403

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131126

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140117

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140812

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141008

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150324

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150406

R150 Certificate of patent or registration of utility model

Ref document number: 5736674

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees