JP2011058847A - 半導体集積回路装置 - Google Patents

半導体集積回路装置 Download PDF

Info

Publication number
JP2011058847A
JP2011058847A JP2009206124A JP2009206124A JP2011058847A JP 2011058847 A JP2011058847 A JP 2011058847A JP 2009206124 A JP2009206124 A JP 2009206124A JP 2009206124 A JP2009206124 A JP 2009206124A JP 2011058847 A JP2011058847 A JP 2011058847A
Authority
JP
Japan
Prior art keywords
value
data holding
input
scan
holding units
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009206124A
Other languages
English (en)
Other versions
JP2011058847A5 (ja
Inventor
Masao Asai
政生 浅井
Yukisuke Takasuka
志丞 高須賀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2009206124A priority Critical patent/JP2011058847A/ja
Priority to US12/805,754 priority patent/US20110060952A1/en
Priority to CN2010102758896A priority patent/CN102013270A/zh
Publication of JP2011058847A publication Critical patent/JP2011058847A/ja
Publication of JP2011058847A5 publication Critical patent/JP2011058847A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C29/50012Marginal testing, e.g. race, voltage or current testing of timing
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C29/30Accessing single arrays
    • G11C2029/3202Scan chain

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)
  • For Increasing The Reliability Of Semiconductor Memories (AREA)

Abstract

【課題】メモリマクロを含む半導体集積回路装置の遅延故障を確実に検出できないという問題があった。
【解決手段】メモリマクロを備える半導体集積回路装置1であり、スキャンモード制御信号(SMC)に応じて、入力データ信号の値とスキャンテスト値とのいずれかを、動作クロックに従って保持する入力データ保持部22−0〜22−kと、テストモード制御信号(TEN)に応じて、入力データ保持部22−0〜22−kが保持する値とメモリセル部が記憶するデータ値とのいずれかを、複数の入力データ保持部が動作する位相とは異なる位相に従って保持する出力データ保持部41−0〜41−kと、を備える。入力データ保持部22−0〜22−kと、出力データ保持部41−0〜41−kとは、入力データ保持部22−0を先端として交互に直列に接続され、複数の出力データ保持部の一つが保持する値は、スキャンテスト値として後段の入力データ保持部へ伝送される。
【選択図】図1

Description

本発明は、メモリマクロを含む半導体集積回路装置に関し、特に、メモリマクロを含む半導体集積回路装置の遅延故障を検出に関する。
従来から、半導体集積回路装置では、品質試験として、縮退故障検出試験(スキャン)と遅延故障検出試験(ディレイスキャン)とが実施されている。縮退故障検出試験は、半導体集積回路内の断線やショートを検出する。例えば、特許文献1には、縮退故障検出試験を実施する半導体集積回路の一例が開示されている。
また、遅延故障検出試験は、半導体集積回路内の遅延故障を検出する。半導体集積回路内に遅延故障が存在していた場合、当該半導体集積回路を実際の製品に組み込んだ時に、動作エラーが発生する。近年、半導体集積回路のプロセスの微細化、及びその動作の高速化が行われている。このため、半導体集積回路内の遅延故障が発生する割合が急速に高まりつつある。このため、遅延故障の検出が必須のものとなっている。
特に、RAM(Random Access Memory)マクロを含む半導体集積回路では、RAMマクロの搭載数が増加している。これに伴い、RAM周辺回路の遅延故障の効率的かつ確実な除去の必要性が高まっている。
特許文献2には、メモリが内蔵されたLSI(Large Scale Integration)において、メモリの入力への経路またはメモリの出力からの経路の遅延故障を検出する半導体集積回路が開示されている。
図7は、特許文献2に開示された半導体集積回路の構成例を示すブロック図である。図7の回路は、スキャンFF901a〜901m、セレクタ902a〜902e、遅延調整回路903a〜903e、組合せ回路910a〜910c、メモリ911、及びBIST(Built-in Self Test)912とから構成されている。組合せ回路910aは、その入力がスキャンFF901a〜901dと接続し、その出力は各セレクタ902a〜902dにおける入力の1つに接続している。セレクタ902a〜902dの他の入力には、BIST912からのデータ出力が接続している。セレクタ902a〜902dの出力はメモリ911に接続し、また遅延調整回路903a〜903dに接続している。遅延調整回路903a〜903dは、スキャンFF901e〜901hの入力に接続している。組合せ回路910bの出力は、スキャンFF901kに接続している。スキャンFF901kの出力は遅延調整回路903eに接続している。遅延調整回路903eの出力はセレクタ902eの入力の1つに接続している。メモリ911のデータ出力はセレクタ902eの他の入力に接続している。セレクタ902eの出力は組合せ回路910cに接続している。組合せ回路910cの出力はスキャンFF901mに接続している。また、セレクタ902eの出力はBIST912にも接続している。
スキャンFF901a〜901mはスキャンパスを構成しており、スキャンテスト時は通常入力端子Dから値を取りこみ、スキャン・シフト時はテスト入力端子SIからデータを取りこみ、テスト出力端子SOUTからデータを出力する構成になっている。セレクタ902a〜902dは、メモリ検査制御信号が"H"の場合に、テスト入力として、BIST912の出力データを選択する。また、メモリ検査制御信号が"L"の場合には、通常動作として他方の入力を選択する。セレクタ902eは、テストモード信号が"H"の場合にスキャンFF901kの出力を選択し、テストモード信号が"L"の場合にはメモリの出力データを選択する。
スキャンFF901aから組合せ回路910aを通りメモリ911のADR端子へ至る経路上のパスディレイテストを行う場合は、まずメモリ検査制御信号を"L"に設定し、スキャン・シフト動作によりスキャンFF901a〜901dおよび組合せ回路910aの入力に初期値を設定し、テスト対象経路の初期化を行う。次に、スキャンFF901a〜901dおよび組合せ回路910aの入力に最終値を設定し、テスト対象経路の活性化を行う。
活性化後の値をメモリのクロック周期と同じタイミングでスキャンFF901eに取りこむ。スキャンFF901eの値をスキャン・シフト動作により出力端子にシフトさせ、期待値と比較することによりテストを行う。
メモリ911のDOUTから組合せ回路910cを通りスキャンFF901mへ至る経路上のパスディレイテストを行う場合は、まずテストモード制御信号を"H"に設定し、スキャン・シフト動作によりスキャンFF901kおよび組合せ回路910cの入力に初期値を設定し、テスト対象経路の初期化を行う。次に、スキャンFF901kおよび組合せ回路910cの入力に最終値を設定し、テスト対象経路の活性化を行う。
活性化後の値を実動作時のクロック周期と同じタイミングでスキャンFF901mに取りこむ。スキャンFF901mの値をスキャン・シフト動作により出力端子にシフトさせ、期待値と比較することによりテストを行う。
上述したように、特許文献2の半導体集積回路では、スキャンFF901aから組合せ回路910aを通りメモリ911のADR端子へ至る経路上のパスディレイテストを行う場合、組合せ回路910aから伝送される値をスキャンFF901eに取りこむ。従って、セレクタ902aからADR端子までの信号線のうち、スキャンFF901eへ分岐するポイントからADR端子までの経路について遅延故障を検出することができない。上記ADR端子の場合と同様に、DIN、WE、CSの各端子もFF901f〜901hへ分岐点以降の遅延故障を検出することができない。また、メモリ911のDOUTから組合せ回路910cを通りスキャンFF901mへ至る経路上のパスディレイテストを行う場合、DOUTからセレクタ902eまでの経路について遅延故障を検出することができない。
特開平4−48493号公報 特開2006−4509号公報
遅延故障の試験では、入力データがメモリマクロ内へ入力されること、また、メモリマクロから出力データが出力されることを確認する必要がある。しかしながら、特許文献2の半導体集積回路では、上述したように、一部の経路について遅延故障を検出することができない。
このように、メモリマクロを含む半導体集積回路装置の遅延故障を確実に検出できないため、品質を向上させることができないという問題があった。
本発明に係る半導体集積回路装置の一態様は、メモリマクロを備える半導体集積回路装置であって、メモリセル部と、スキャンモード制御信号に応じて、入力データ信号の値とスキャンテスト値とのいずれかを、動作クロックに従って保持する複数の入力データ保持部と、テストモード制御信号に応じて、前記複数の入力データ保持部の一つが保持する値と前記メモリセル部が記憶するデータ値とのいずれかを、前記複数の入力データ保持部が動作する位相とは異なる位相に従って保持する複数の出力データ保持部と、を備え、前記複数の入力データ保持部と、前記複数の出力データ保持部とは、前記複数の入力データ保持部の一つを先端として交互に直列に接続され、前記複数の出力データ保持部の一つが保持する値は、前記スキャンテスト値として後段の前記入力データ保持部へ伝送される。入力データ保持部と出力データ保持部とを交互に直列に接続することにより、スキャンチェーンを形成する。従って、メモリマクロ内に保持する値を外部から設定することができる。また、メモリマクロ内に保持する値を取り出すことができる。これにより、メモリマクロの前段及び後段の遅延故障の検出をメモリセル部の直前(入力データ保持部)及び直後(出力データ保持部)に保持する値を用いて検出することが可能になる。従って、遅延故障の検出の精度を上げることができる。これは、半導体集積回路の品質を向上させることにつながる。
本発明によれば、メモリマクロを含む半導体集積回路装置の遅延故障を確実に検出し、品質を向上させることが可能となる。
本発明の実施形態1に係る半導体集積回路装置に備えるメモリマクロの構成例を示すブロック図である。 図1のSRAM1を用いて、遅延故障を試験する構成を備える半導体集積回路装置を模式的に示す図である。 実施形態1におけるSRAMの後段のロジックコーンの遅延故障を試験する動作例を示すフローチャートである。 本発明の実施形態2に係る半導体集積回路装置に備えるメモリマクロの構成例を示すブロック図である。 タイミング生成回路を有するSRAMを備える半導体集積回路装置を模式的に示す図である。 図5のSRAMで用いるクロックの一例を示すタイミングチャートである。 特許文献2に開示された半導体集積回路の構成例を示すブロック図である。
以下、本発明の実施形態について、図面を参照しながら説明する。説明の明確化のため、以下の記載及び図面は、適宜、省略、及び簡略化がなされている。各図面において同一の構成または機能を有する構成要素および相当部分には、同一の符号を付し、その説明は省略する。
以下の実施形態では、クロック同期式RAMマクロであるSRAM(Static Random Access Memory)を、メモリの一例として説明する。しかしながら、本発明は、当該SRAMに限られることはない。メモリセル部の入力側と出力側とにデータを保持するラッチを備えるメモリマクロに適用することが可能である。具体的には、入力側に、メモリセル部へ書き込むデータを保持するラッチ(入力側ラッチ)を備え、出力側に、メモリセル部から読み出したデータを保持するラッチ(出力側ラッチ)を備えるメモリマクロを備える半導体集積回路装置に、本発明を適用することができる。
(実施形態1)
図1は、本発明の実施形態1に係る半導体集積回路装置に備えるメモリマクロの構成例を示すブロック図である。本実施形態では、メモリマクロは、一例として、クロック同期式RAMマクロであるSRAM1の場合を示す。SRAM1は、入力部2、メモリセル部(RAM)3、及び出力部4を備える。
入力部2は、メモリ制御信号及び入力データ信号の値を保持する。入力部2は、保持する値を用いて、メモリセル部3にデータを書き込む。また、入力部2は、入力データ信号の値に替えて、スキャンテスト値を保持することもある。スキャンテスト値は、テスト用データであり、スキャン・シフト動作状態のときに設定される。
メモリセル部3は、入力部2に保持される値に応じて書き込まれるデータを保持する記憶領域である。また、メモリ制御信号の値に応じて、保持するデータを読み出し、出力部4へ出力する。
出力部4は、メモリセル部3から読み出した出力データを保持する。また、出力部4は、出力データ値に替えて、入力部2が保持する値を保持することもある。
入力部2は、複数のラッチ(マスタラッチ)21−0〜21−m(m>0の整数)、及び複数の入力データ保持部22−0〜22−k(k≧0の整数)を備える。
ラッチ21−0〜21−mは、メモリ制御信号の値(制御値)を保持する。図1では、メモリ制御信号として、"CS"、"WE"、"Aj"を一例として示している。メモリ制御信号の入力端子それぞれを、"CS入力端子"、"WE入力端子"、"Aj端子"という。また、"Aj"は、アドレス信号である。実際には複数のアドレス信号A0〜Aj(j>0の整数)が入力されるが、説明を簡略化するためここでは代表として"Aj"を示している。また、メモリ制御信号の数、種類についても、図1は一例と示したものであり、これに限られるわけではない。また、図1では、ラッチ21−0〜21−mをメモリ制御信号の値を保持する回路の一例として示したが、これ以外の回路を用いてもかまわない。
入力データ保持部22−0〜22−kは、スキャンモード制御信号(以降適宜、「SMC」という)に応じて、入力データ信号の値とスキャンテスト値とのいずれかを、動作クロックの逆位相に従って保持する。入力データ保持部22−0〜22−kは、入力データ信号(DI0〜DOk)それぞれに対応させて備えられる。
入力データ保持部22−0〜22−kは、スキャンモード制御信号がスキャン・シフト動作に設定された場合(例えば、SMC=1)、スキャンテスト値を保持する。スキャンモード制御信号がスキャン・シフト動作以外に設定された場合(例えば、SMC=0)、入力データ保持部22−0〜22−kは、入力データ信号の値を保持する。
入力データ保持部22−0〜22−kは、入力セレクタ(入力データセレクタ、選択回路、または、SEL1ともいう)221及び入力ラッチ(入力データラッチ、または、DILともいう)222を備える。図1では、入力データ保持部22−0内の構成を示しているが、入力データ保持部22−1〜22−kも同様の構成を備える。
入力セレクタ221は、スキャンモード制御信号に応じて、入力データ信号の値とスキャンテスト値とのいずれかを選択する。入力セレクタ221は、SMCの入力端子SMCと接続され、SMCを選択信号として入力する。
入力データ保持部22−0〜22−kにおいて、入力セレクタ221の一方の入力端子Dは、それぞれ対応する入力データ信号(DI0〜DOk)の入力端子(DI0入力端子、・・・、DIk入力端子)と接続される。これにより、入力データ信号は、DI0入力端子〜DIk入力端子から、それぞれに対応する入力データ保持部22−0〜22−kの入力セレクタ221の一方の端子Dへ入力される。
また、入力データ保持部22−0の入力セレクタ221の他方の入力端子SIは、スキャンテスト値(SIN)を入力するSIN入力端子と接続される。スキャンテスト値は、SIN入力端子から入力データ保持部22−0の入力セレクタ221の入力端子SIへ入力される。また、入力データ保持部22−1〜22−kの入力セレクタ221の他方の入力端子SIは、出力部4の出力信号(後述する、複数の出力データ保持部41−0〜41−(k−1)の一つ)と接続される。これにより、入力データ保持部22−1〜22−kの入力セレクタ221では、スキャンテスト値として、出力部4から出力される値が入力される。
入力セレクタ221の出力は、入力ラッチ222へ入力される。
入力ラッチ222は、入力セレクタ221が選択した値を、動作クロックの逆位相に従って保持する。入力ラッチ222の出力QMBは、それぞれ対応するメモリセル部3のビットに入力されるともに、出力部4へ伝送される。
出力部4は、複数の出力データ保持部41−0〜41−kを備える。
出力データ保持部41−0〜41−kは、テストモード制御信号(以降適宜、「TEN」という)に応じて、入力ラッチ222が保持する値(入力保持値)とメモリセル部3が記憶するデータ値(出力データ値)とのいずれかを、動作クロックの正位相に従って保持する。
テストモード制御信号が試験モード(テストモード)に設定された場合(例えば、TEN=1)、出力データ保持部41−0〜41−kは、前段の入力データ保持部22−0〜22−kが保持する値を、動作クロックCLKの正位相に従って保持する。スキャンモード制御信号が通常モードに設定された場合、出力データ保持部41−0〜41−kは、メモリセル部3が記憶するデータ値を保持する。
出力データ保持部41−0〜41−kは、出力セレクタ(出力データセレクタ、または、SEL2ともいう)411及び出力ラッチ(出力データラッチ、または、DOLともいう)412を備える。図1では、出力データ保持部41−0内の構成を示しているが、出力データ保持部41−1〜41−kも同様の構成を備える。
出力セレクタ411は、TENに応じて、複数の入力データ保持部22−0〜22−kの一つが保持する値と、メモリセル部3が記憶するデータ値とのいずれかを選択する。出力セレクタ411は、TENの入力端子TENと接続され、TENを選択信号として入力する。
出力データ保持部41−0〜41−kにおいて、出力セレクタ411の一方の入力端子は、それぞれ対応するメモリセル部3の各ビットと接続される。メモリセル部3からのデータ値は、それぞれ対応する出力データ保持部41−0〜41−kの出力セレクタ411へ入力される。言い換えると、メモリセル部3から出力されるデータが出力データ値として、当該一方の入力端子へ入力される。
また、出力セレクタ411の他方の入力端子は、複数の入力データ保持部22−0〜22−kの一つの入力ラッチ222と接続される。言い換えると、入力ラッチ222の出力信号QMBは、複数の出力データ保持部41−0〜41−kの一つの出力セレクタ411の当該他方の入力端子へ入力される。
出力ラッチ412は、出力セレクタ411が選択した値を、動作クロックの正位相に従って保持する。出力データ保持部41−0〜41−kの出力ラッチ412は、それぞれ対応する出力端子DO0〜DOkへ接続される。また、出力データ保持部41−0〜41−(k−1)の出力ラッチ412は、複数の入力データ保持部22−1〜22−kの一つの入力セレクタ221の他方の入力端子SIへ接続される。出力データ保持部41−kの出力ラッチ412は、スキャンテスト値のSOT出力端子へ接続される。これにより、出力ラッチ412が出力する出力信号Qは、それぞれ対応するDO0出力端子、・・・、DOk出力端子と、入力セレクタ221またはスキャンテスト値のSOT出力端子へ出力される。
動作クロック(以下適宜、「CLK」という)はCLK入力端子から入力部2及び出力部4の各構成要素(具体的には、ラッチ21−0〜21−2、各入力ラッチ222及び各出力ラッチ412)へ供給される。
複数の入力データ保持部22−0〜22−kと、複数の出力データ保持部41−0〜41−kとは、入力データ保持部22−0を先端(初段)として交互に直列に接続される。例えば、出力データ保持部41−0が保持する値(出力保持値)は、スキャンテスト値として後段の入力データ保持部22−1(一つ後に接続された入力データ保持部)へ伝送される。複数の入力データ保持部22−0〜22−kの一つと、後段となる、複数の出力データ保持部41−0〜41−kの一つとの組み合わせにより、TENの値が"1"の場合には、データ選択機能付Dタイプ・フリップ・フロップ(当該組み合わせの構成を以下"MFF1"という)としての機能を実現する。例えば、入力データ保持部22−0と出力データ保持部41−0との組み合わせを一つのMFF1ということができる。図1では一つのMFF1を点線で囲んでいる。MFF1は、TENの値が"1"の場合には、スキャンフリップフロップを形成する。図1では、k+1個のMFF1−0〜MFF1−kが形成されている。
また、MFF1−0〜MFF1−kは、データ選択機能付Dタイプ・フリップ・フロップからなるスキャンチェーンを形成している。従って、テストモード制御信号が試験モードであり、かつ、スキャンモード制御信号がスキャン・シフト動作状態である場合、スキャンチェーンとして機能する。
次に、図2を用いて、図1のSRAM1を用いて遅延故障を試験する構成例を説明する。図2は、図1のSRAM1を用いて、遅延故障を試験する構成を備える半導体集積回路装置を模式的に示す図である。図2に示す半導体集積回路装置では、SRAM1と、組合せ回路61、62、フリップ・フロップ(F/F)63、64、セレクタ65、66を備える。セレクタ65、66は、一般的には選択回路またはセレクタで構成される。SRAM1は、図1と同様の構成を有しているが、図2では、入力データ保持部22−0の入力セレクタ221及び入力ラッチ222(DIL)と、出力データ保持部41−0の出力セレクタ411及び出力ラッチ412(DOL)とを代表として示している。
セレクタ65は、フリップ・フロップ63へ入力する値を選択する。セレクタ66は、フリップ・フロップ64へ入力する値を選択する。動作クロックCLKは、フリップ・フロップ63、64、入力ラッチ222、及び出力ラッチ412ともに共通である。
遅延故障の試験では、ロジックコーン単位に遅延故障が発生するか否かを試験する。一つのロジックコーンは、組合せ回路の前段に配置されるフリップ・フロップの入力端子から、組合せ回路の後段に配置されるフリップ・フロップの入力端子までとなる。
例えば、図2では、SRAM1の前段のロジックコーンの遅延故障を試験する場合、フリップ・フロップ63から入力ラッチ222までを試験する。また、SRAM1の後段のロジックコーンの遅延故障を試験する場合、出力ラッチ412からフリップ・フロップ64までを試験する。
フリップ・フロップ63から組合せ回路61を通りSRAM1のDI端子へ至る経路上の遅延故障試験を行う場合、例えば、試験モード(TEN=1)に設定後、スキャン・シフト動作状態(SMC=1)に設定し、スキャン・シフト動作により、フリップ・フロップ63の入力及びMFF1−0〜MFF1−kの入力に所望の値を設定する。次に、スキャン・キャプチャ動作状態(SMC=0)に設定し、通常動作用の動作クロックまたは当該動作クロック同等以下の周期のクロックを用いテスト対象経路の活性化(Launch、Capture)を行う。その後、スキャン・シフト動作状態(SMC=1)に設定し、入力ラッチ222が保持する値を取り出す(スキャン・アウト)。
前段のロジックコーンにおいて、SRAM1内の入力ラッチ222を接続する配線(経路)を含めて遅延故障を検出することが可能となる。また、入力ラッチ222が保持する値を確認することができる。このため、前段のロジックコーンの遅延故障を確実に検出することができる。
図2に示す半導体集積回路装置では、出力ラッチ412から出力される値を用いて、SRAM1の後段のロジックコーンの遅延故障を試験することが可能となる。すなわち、出力ラッチ412を接続する配線を含めて試験をすることが可能となる。この試験の詳細については、図3を用いて説明する。
図3は、SRAMの後段のロジックコーンの遅延故障を試験する動作例を示すフローチャートである。一例として、SRAM1とフリップ・フロップ64間において、フリップ・フロップ64の入力値を"0"から"1"へ変化させたときの試験を行う場合の動作を説明する。
フリップ・フロップ64は、SRAM1の後段に配置され、SRAM1から出力される値を保持する。なお、図2では、SRAM1内のMFF1を一つ表しているに過ぎないが、SRAM1は、図1に示すように、k+1個のMFF1を備える。また、例えば前段のフリップ・フロップ63、後段のフリップ・フロップ64、及びセレクタ65、66も、k+1個備えられ、それぞれk+1個のフリップ・フロップによってスキャンチェーンが形成されていることを前提とする。また、ここでは、TENが"1"のとき試験モードであるとする。また、SMCが"1"のときスキャン・シフト動作状態であるとする。
まず、TENを1に設定して、SRAM1を試験モードに設定する(S11)。また、SMCを1に設定して、スキャン・シフト動作状態に設定する(S12)。
続いて、試験用のデータを設定する(S13)。ここでは、まずD3端子が「0」になるようにMFF1−0〜MFF1−kの保持値を設定する。次に、D3端子が「1」へ変化するように、入力データ信号(DI0〜DIk)の値を設定する。ここでは、MFF1−0と入力データ信号DI0からMFF1−kと入力データ信号DIkまで順番に繰り返す(S14)。
MFF1−0〜MFF1−kのデータ設定は次のように実施される。SINよりスキャンテスト値として、データ"0"を入力する。入力データ保持部22−0において、入力セレクタ221がSMCの値に応じて、スキャンテスト値を選択する。CLKの逆位相に従って、入力ラッチ222が入力セレクタ221から出力されるスキャンテスト値"0"を保持し、続いて、TENに応じて、出力データ保持部41−1の出力セレクタ411が入力ラッチ222からの出力信号の値"0"(入力保持値)を選択する。CLKの正位相に従って、出力ラッチ412が出力セレクタ411から出力される値"0"を保持する。
試験用のデータの設定が終了すると(S14でYES)、SMCを"0"に設定し、スキャン・キャプチャ動作状態に設定する(S15)。続いて、Launchを行い、フリップ・フロップ64が"0"を取り込むのと同時にMFF1−0〜MFF1−kが入力端子DI0〜DIkから入力される入力データ信号(DI0〜DIk)の値を取り込む(S16)。これにより、MFF1−0〜MFF1−k(出力ラッチ412)が保持する値が、フリップ・フロップ64の入力端子D3が"0"になる値から、"1"になる値に変更されたことになる。次に、Captureを行い、フリップ・フロップ64に"1"を保持させる(S17)。この時のLaunchからCaptureまでの時間は、通常動作用の動作クロックの周期と同等またはそれ以下の周期である。
SMCを"1"に設定し、スキャン・シフト動作状態に設定する(S18)。スキャン・アウトを行い、試験結果を判定する(S19)。ここでは、SRAM1の後段に配置されたフリップ・フロップ64のスキャンチェーンについて、スキャン・アウトを行い、遅延故障が生じているか否かを判定する。
以上説明したように、本実施形態のSRAM1を用いることによって、メモリマクロとその前後段のロジックコーンの遅延故障の試験の品質を向上させることができる。具体的には、メモリマクロの前段または後段のロジックコーンの遅延故障の試験では、メモリマクロ内の入力データ保持部22−0〜22−kの入力端子までの経路と出力データ保持部41−0〜41−kの出力端子以降の経路も含めた試験が可能となる。すなわち、通常動作時と全く同一の経路において試験が可能となる。これにより、メモリマクロへ入力するデータ信号が確実に伝達されていることが確認できる。また、メモリマクロから出力されるデータ信号が確実に伝達されることが確認できる。例えば、特許文献2では、メモリマクロ内の経路については、遅延故障検出試験を実現できなかったことから、特許文献2に開示された技術より試験の品質を向上させることができる。
また、本実施形態では、メモリマクロ内に既存であるラッチ(入力ラッチ、出力ラッチ)を用いて次のような構成を備える。入力ラッチと出力ラッチとは同一の動作クロックを用い、出力ラッチは、動作クロックの正位相で動作し、入力ラッチは、当該動作クロックの逆位相で動作する。
・メモリマクロのデータ入力信号に対応するデータ入力ラッチ(DIL)の入力に接続され、データ入力ラッチ"DIL"の入力を選択信号"SMC"により選択する入力セレクタ(選択回路SEL1)。
・メモリマクロのデータ出力信号に対応する出力ラッチ(DOL)の入力に接続され、出力ラッチの入力を選択信号"TEN"により選択する出力セレクタ(選択回路SEL2)。
・入力セレクタの入力は、一方をメモリマクロの入力端子DI0〜DIk(入力データ信号DI0〜DIkを入力)に接続し、他方をスキャンテスト値(SIN)の入力端子SINまたは出力ラッチの出力と接続する信号線。
・出力セレクタの入力は、一方をメモリセルの出力端子DO0〜DOkと接続し、他方を入力ラッチの出力と接続する信号線。
上記構成を用いることにより、入力セレクタ221、入力ラッチ222、出力セレクタ411、出力ラッチ412とは、選択信号"TEN"によりデータ選択機能付Dタイプ・フリップ・フロップとして動作可能とする。
この構成では、既存のラッチを用いることにより、追加する回路数を少なくすることができる。具体的には、図1の構成では、入力セレクタ221と出力セレクタ411、並びに配線を追加することによって、遅延故障を試験する構成を形成することを可能とする。これは、特許文献2に比べて、追加する回路が少なくなっている。従って、半導体集積回路装置のチップ面積を小さくすることが可能となる。また、半導体集積回路装置の製造コストを削減することができる。
さらに、メモリマクロ内に、スキャンチェーンを形成することによって、試験用のデータの設定を容易にする。具体的には、MFF1に保持するデータを入力端子SINから入力するスキャンテスト値(SIN)によって設定することが可能になる。また、メモリマクロ内にスキャンチェーンを形成したことによって、試験結果を容易に取り出すこと可能になる。これにより、試験に要する時間を削減することができる。特に、スキャン信号を用いてMFF1内に試験用のデータを設定することが可能になったことにより、メモリマクロの前段のフリップ・フロップを用いて試験用のデータを設定する必要がなくなる。このため、試験用のデータの生成が容易になると共に、データ生成に要する時間を削減することが可能になる。
(実施形態2)
本実施形態では、メモリ制御信号を入力するラッチ21−0〜21−2についても、スキャンチェーンを形成する一態様を説明する。
図4は、本発明の実施形態2に係る半導体集積回路装置に備えるメモリマクロの構成例を示すブロック図である。SRAM6は、図1の入力部2にかえて、ラッチ21−0〜21−mへ回路が追加された制御値保持部51−0〜51−mを有する入力部5を備える。入力データ保持部22−0の接続関係を除いて、その他の構成は図1と同様である。
制御値保持部51−0〜51−mは、マスタセレクタ(SEL1)511、マスタラッチ(ML)512、及びスレーブラッチ(SL)513を備える。図4では、制御値保持部51−0内の構成を示しているが、制御値保持部51−1〜51−mも同様の構成を備える。
マスタセレクタ511は、スキャンモード制御信号に応じて、メモリ制御信号の値とスキャンテスト値とのいずれかを選択する。マスタセレクタ511は、SMCの入力端子SMCと接続され、SMCを選択信号として入力する。
制御値保持部51−0〜51−mにおいて、マスタセレクタ511の一方の入力端子Dは、それぞれ対応するメモリ制御信号の入力端子(CS入力端子、WE入力端子、Aj入力端子)と接続される。CS、WE、Ajのメモリ制御信号は、それぞれCS入力端子、WE入力端子、Aj入力端子から、対応するマスタセレクタ511の一方の端子Dへ入力される。
また、制御値保持部51−0のマスタセレクタ511の他方の入力端子SIは、スキャンテスト値(SIN)を入力するSIN入力端子と接続される。スキャンテスト値は、SIN入力端子から制御値保持部51−0のマスタセレクタ511の入力端子SIへ入力される。また、制御値保持部51−1〜51−mの、マスタセレクタ511の他方の入力端子SIは、スレーブラッチ513の出力信号と接続される。制御値保持部51−1〜51−mのマスタセレクタ511では、スキャンテスト値として、スレーブラッチ513から出力される値が入力される。
マスタセレクタ511の出力は、マスタラッチ512へ入力される。
マスタラッチ512は、マスタセレクタ511が選択した値を、動作クロックの逆位相に従って保持する。マスタラッチ512の出力QMBは、メモリセル部3の各々対応する端子に入力されるとともに、スレーブラッチ513へ入力される。
スレーブラッチ513は、マスタラッチが保持する値を、動作クロックの正位相に従って保持する。スレーブラッチ513の出力Qは、後段の制御値保持部のマスタセレクタ511のSI端子へ接続される。
制御値保持部51−0〜51−mは、このような構成をとることにより、データ選択機能付Dタイプ・フリップ・フロップ(以下、適宜、「制御値保持部」を"MFF2"ともいう)としての機能を実現する。図4では、m+1個のMFF2−0〜MFF2−mと、k+1個のMFF1−0〜MFF1−kが形成されている。
また、制御値保持部51−0〜51−mは、直列に接続される。複数の制御値保持部51−0〜51−(m−1)の一つのスレーブラッチ513が保持する値は、後段の制御値保持部51−1〜51−mのマスタセレクタ511へスキャンテスト値として入力される。
さらに、終端の制御値保持部51−mのスレーブラッチ513が保持する値は、先端の入力データ保持部22−0へ、スキャンテスト値として入力される。
この接続により、制御値保持部51−0〜51−m、入力データ保持部22−0〜22−k、及び出力データ保持部41−0〜41−kは、データ選択機能付Dタイプ・フリップ・フロップからなるスキャンチェーンを形成する。従って、テストモード制御信号が試験モードであり、かつ、スキャンモード制御信号がスキャン・シフト動作状態である場合、スキャンチェーン(多段階シフトレジスタ)として機能する。従って、メモリマクロとその前段及び後段のロジックコーン上の遅延故障をディレイスキャンで検出することを可能とする。
本実施形態のSRAM6は、実施形態1で示した図2と同様に、遅延故障を試験する構成を形成する。実施形態1のSRAM1に加え、SRAM6では、前段のロジックコーンから出力されるメモリ制御信号の値を確認することができる。従って、SRAM6の前段のロジックコーンについて、メモリ制御信号の入力端子までに生じる遅延故障を検出することが可能になる。
さらに、メモリ制御信号の値を所望の値に設定することができる。例えば、所望のメモリ制御信号及びデータ信号の値をSIN入力端子から入力し、各ラッチに保持させ、試験することが可能となる。
このように、本実施形態によれば、実施形態1と同様の効果に加え、前段のロジックコーンのうち、メモリ制御信号に関するロジックコーンの遅延故障の試験品質を向上させることができる。
(その他の実施形態)
上記各実施形態では、SRAMを一例として説明したが、これに限られることはない。SRAM以外のRAM、あるいは、ROM(Read Only Memory)であっても、メモリセル部の入力側と出力側とにデータを保持するラッチを備えるメモリマクロであれば、本発明を適用することができる。
また、上記各実施形態では、入力データ保持部とマスタラッチとは、動作クロックの逆位相に従って値を保持し、出力データ保持部とスレーブラッチとは、動作クロックの正位相に従って値をラッチする場合を一例として説明した。動作クロックの位相は、これに限定されるものではなく、一方(入力データ保持部、マスタラッチ)が用いる動作クロックの位相と、他方(出力データ保持部、スレーブラッチ)の位相が相互に逆になっていればよい。従って、一方が動作クロックの正位相を用いた場合、他方が動作クロックの逆位相を用いればよい。
さらに、上記各実施形態では、動作クロックCLKの正位相と逆位相とを用いる場合を説明した。これに限らず、複数の出力データ保持部が複数の入力データ保持部が動作する位相とは異なる位相のクロックを用いればよい。例えば、動作クロックの位相をずらして、相互に異なる位相を有するクロックを用いてもよい。図5にタイミング生成回路(タイミング生成部)を有するSRAMを備える半導体集積回路装置を示す。SRAM7は、タイミング生成回路71を備える。タイミング生成回路71は、動作クロックCLKに基づいて、相互に位相の異なるクロックCKS、CKMを生成する。
図6に動作クロックCLKとクロックCKS、CKMの一例を示す。動作クロックCLKとクロックCKS、CKMとは、周波数は同一である。ここで、クロックCKS、CKMとは、互いにハイレベルである期間とロウレベルである期間とは異なっていてもよい。このように、入力データ保持部(入力ラッチ)が用いるクロックと、出力データ保持部(出力ラッチ)が用いるクロックとは、同一周波数であって、位相差を有する関係であればよい。
なお、マスタラッチが用いるクロックとスレーブラッチが用いるクロックについても同様である。
図5では、図1に示すSRAM1へタイミング生成回路71を適用したSRAM7を示しているが、図4に示すSRAM6へタイミング生成回路71を適用することも可能である。この場合、入力ラッチ222とマスタラッチ512とが同じクロックCKMを用い、出力ラッチ412とスレーブラッチ513とが同じクロックCKSを用いるように構成すればよい。すなわち、入力データ保持部22−0〜22−kと制御値保持部51−0〜51−mのマスタラッチ512とが同じクロックCKMを用い、出力データ保持部41−0〜41−kと制御値保持部51−0〜51−mのスレーブラッチ513とが同じクロックCKSを用いるように構成すればよい。
なお、本発明は上記に示す実施形態に限定されるものではない。本発明の範囲において、上記実施形態の各要素を、当業者であれば容易に考えうる内容に変更、追加、変換することが可能である。
1、6、7 SRAM
2、5 入力部
3 メモリセル部
4 出力部
21−0〜21−m ラッチ
22−0〜22−k 入力データ保持部
41−0〜41−k 出力データ保持部
51−0〜51−m 制御値保持部
221 入力セレクタ
61、62 組合せ回路
63、64 フリップ・フロッ
65、66 セレクタ
71 タイミング生成回路
222 入力ラッチ
411 出力セレクタ
412 出力ラッチ
511 マスタセレクタ
512 マスタラッチ
513 スレーブラッチ

Claims (12)

  1. メモリマクロを備える半導体集積回路装置であって、
    メモリセル部と、
    スキャンモード制御信号に応じて、入力データ信号の値とスキャンテスト値とのいずれかを、動作クロックに従って保持する複数の入力データ保持部と、
    テストモード制御信号に応じて、前記複数の入力データ保持部の一つが保持する値と前記メモリセル部が記憶するデータ値とのいずれかを、前記複数の入力データ保持部が動作する位相とは異なる位相に従って保持する複数の出力データ保持部と、を備え、
    前記複数の入力データ保持部と、前記複数の出力データ保持部とは、前記複数の入力データ保持部の一つを先端として交互に直列に接続され、
    前記複数の出力データ保持部の一つが保持する値は、前記スキャンテスト値として後段の前記入力データ保持部へ伝送される半導体集積回路装置。
  2. 前記スキャンモード制御信号がスキャン・シフト動作に設定された場合、前記複数の入力データ保持部は、前記スキャンテスト値を保持し、
    前記スキャンモード制御信号がスキャン・シフト動作以外に設定された場合、前記複数の入力データ保持部は、前記入力データ信号の値を保持することを特徴とする請求項1記載の半導体集積回路装置。
  3. 前記テストモード制御信号が試験モードに設定された場合、前記複数の出力データ保持部は、前記入力データ保持部が保持する値を保持し、
    前記テストモード制御信号が通常モードに設定された場合、前記複数の出力データ保持部は、前記メモリセル部が記憶するデータ値を保持することを特徴とする請求項1または2記載の半導体集積回路装置。
  4. 前記複数の入力データ保持部は、
    前記スキャンモード制御信号に応じて、前記入力データ信号の値とスキャンテスト値とのいずれかを選択する入力セレクタと、
    前記入力セレクタが選択した値を、前記動作クロックに従って保持する入力ラッチと、を備え、
    前記複数の出力データ保持部は、
    前記テストモード制御信号に応じて、前記入力ラッチが保持する値と、前記データ値とのいずれかを選択する出力セレクタと、
    前記出力セレクタが選択した値を、前記入力ラッチが動作する位相とは異なる位相に従って保持する出力ラッチと、を備えることを特徴とする請求項1乃至3のいずれか一項に記載の半導体集積回路装置。
  5. 前記入力ラッチが保持する値は、後段の前記出力データ保持部が有する前記出力セレクタに伝送され、
    前記出力ラッチが保持する値は、後段の前記入力データ保持部が有する前記入力セレクタに伝送されることを特徴とする請求項4記載の半導体集積回路装置。
  6. 前記入力ラッチは、前記メモリセル部へ保持する値を出力し、
    前記出力セレクタは、前記メモリセル部から前記データ値が入力されることを特徴とする請求項4または5記載の半導体集積回路装置。
  7. 前記複数の入力データ保持部は、前記動作クロックの正位相と逆位相とのいずれかを用い、
    前記複数の出力データ保持部は、前記動作クロックの正位相と逆位相のうち、前記複数の入力データ保持部とは異なる位相を用いることを特徴とする請求項1乃至6のいずれか一項に記載の半導体集積回路装置。
  8. 前記複数の出力データ保持部は、前記複数の入力データ保持部が用いるクロックと、同一周波数であって、位相差を有するクロックを用いることを特徴とする請求項1乃至6のいずれか一項に記載の半導体集積回路装置。
  9. 先端の前記入力データ保持部は、スキャンテスト値の入力端子に接続され、
    前記テストモード制御信号が試験モードであり、かつ、前記スキャンモード制御信号がスキャン・シフト動作状態である場合、前記複数の入力データ保持部と、前記複数の出力データ保持部とは、データ選択機能付Dタイプ・フリップ・フロップからなるスキャンチェーンを形成することを特徴とする請求項1乃至8のいずれか一項に記載の半導体集積回路装置。
  10. 前記スキャンモード制御信号に応じて、メモリ制御信号の値とスキャンテスト値とのいずれかを選択するマスタセレクタと、
    前記マスタセレクタが選択した値を、前記動作クロックに従って保持するマスタラッチと、
    前記マスタラッチが保持する値を、前記マスタラッチが動作する位相とは異なる位相に従って保持するスレーブラッチと、を含む複数の制御値保持部を、さらに備え、
    前記複数の制御値保持部は、直列に接続され、
    前記複数の制御値保持部の一つの前記スレーブラッチが保持する値は、後段の前記制御値保持部の前記マスタセレクタへ前記スキャンテスト値として伝送され、
    終端の前記制御値保持部のスレーブラッチが保持する値は、前記先端の入力データ保持部へ、前記スキャンテスト値として伝送されることを特徴とする請求項1乃至8のいずれか一項に記載の半導体集積回路装置。
  11. 先端の前記制御値保持部は、スキャンテスト値の入力端子に接続され、
    前記テストモード制御信号が試験モードであり、かつ、前記スキャンモード制御信号がスキャン・シフト動作状態である場合、前記複数の制御値保持部、前記複数の入力データ保持部、及び前記複数の出力データ保持部は、データ選択機能付Dタイプ・フリップ・フロップからなるスキャンチェーンを形成することを特徴とする請求項8記載の半導体集積回路装置。
  12. 前記マスタラッチは、前記複数の入力データ保持部と同じクロックを用い、
    前記スレーブラッチは、前記複数の出力データ保持部と同じクロックを用いることを特徴とする請求項10または11記載の半導体集積回路装置。
JP2009206124A 2009-09-07 2009-09-07 半導体集積回路装置 Pending JP2011058847A (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009206124A JP2011058847A (ja) 2009-09-07 2009-09-07 半導体集積回路装置
US12/805,754 US20110060952A1 (en) 2009-09-07 2010-08-18 Semiconductor integrated circuit
CN2010102758896A CN102013270A (zh) 2009-09-07 2010-09-07 半导体集成电路

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009206124A JP2011058847A (ja) 2009-09-07 2009-09-07 半導体集積回路装置

Publications (2)

Publication Number Publication Date
JP2011058847A true JP2011058847A (ja) 2011-03-24
JP2011058847A5 JP2011058847A5 (ja) 2012-04-05

Family

ID=43648587

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009206124A Pending JP2011058847A (ja) 2009-09-07 2009-09-07 半導体集積回路装置

Country Status (3)

Country Link
US (1) US20110060952A1 (ja)
JP (1) JP2011058847A (ja)
CN (1) CN102013270A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011065741A (ja) * 2009-09-18 2011-03-31 Arm Ltd メモリ内部のスキャン機能支援
JP2019168316A (ja) * 2018-03-23 2019-10-03 株式会社東芝 半導体集積回路

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8972807B2 (en) * 2012-05-14 2015-03-03 Texas Instruments Incorporated Integrated circuits capable of generating test mode control signals for scan tests
CN105575438B (zh) * 2014-10-16 2020-11-06 恩智浦美国有限公司 用于测试存储器的方法及装置
JP6544958B2 (ja) * 2015-03-18 2019-07-17 ルネサスエレクトロニクス株式会社 半導体装置及び設計装置、スキャンフリップフロップ
JP6901682B2 (ja) * 2017-09-12 2021-07-14 富士通株式会社 記憶装置、演算処理装置及び記憶装置の制御方法
US10847211B2 (en) * 2018-04-18 2020-11-24 Arm Limited Latch circuitry for memory applications
US11894845B1 (en) * 2022-08-30 2024-02-06 Globalfoundries U.S. Inc. Structure and method for delaying of data signal from pulse latch with lockup latch

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0682524A (ja) * 1992-08-31 1994-03-22 Mitsubishi Electric Corp 半導体集積回路装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6694465B1 (en) * 1994-12-16 2004-02-17 Texas Instruments Incorporated Low overhead input and output boundary scan cells
US6556494B2 (en) * 2001-03-14 2003-04-29 Micron Technology, Inc. High frequency range four bit prefetch output data path
US7155651B2 (en) * 2004-04-22 2006-12-26 Logicvision, Inc. Clock controller for at-speed testing of scan circuits
US7596732B2 (en) * 2005-06-30 2009-09-29 Texas Instruments Incorporated Digital storage element architecture comprising dual scan clocks and gated scan output
JP2007187458A (ja) * 2006-01-11 2007-07-26 Nec Electronics Corp スキャンフリップフロップ回路、及び、半導体集積回路装置
US7949863B2 (en) * 2006-03-30 2011-05-24 Silicon Image, Inc. Inter-port communication in a multi-port memory device
KR101458381B1 (ko) * 2006-04-24 2014-11-07 샌디스크 테크놀로지스, 인코포레이티드 고성능 플래시 메모리 데이터 전송
US7486587B2 (en) * 2006-07-31 2009-02-03 Sandisk 3D Llc Dual data-dependent busses for coupling read/write circuits to a memory array
US7793180B1 (en) * 2006-09-19 2010-09-07 Marvell International Ltd. Scan architecture for full custom blocks
US7783946B2 (en) * 2007-11-14 2010-08-24 Oracle America, Inc. Scan based computation of a signature concurrently with functional operation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0682524A (ja) * 1992-08-31 1994-03-22 Mitsubishi Electric Corp 半導体集積回路装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011065741A (ja) * 2009-09-18 2011-03-31 Arm Ltd メモリ内部のスキャン機能支援
JP2013152781A (ja) * 2009-09-18 2013-08-08 Arm Ltd メモリ内部のスキャン機能支援
JP2019168316A (ja) * 2018-03-23 2019-10-03 株式会社東芝 半導体集積回路

Also Published As

Publication number Publication date
CN102013270A (zh) 2011-04-13
US20110060952A1 (en) 2011-03-10

Similar Documents

Publication Publication Date Title
JP2011058847A (ja) 半導体集積回路装置
JP3940713B2 (ja) 半導体装置
US7793183B2 (en) Microcomputer and method of testing the same
JP4751216B2 (ja) 半導体集積回路及びその設計装置
US8108741B2 (en) Semiconductor memory device having mount test circuits and mount test method thereof
CN106019119B (zh) 半导体集成电路的试验电路及使用其的试验方法
US7305602B2 (en) Merged MISR and output register without performance impact for circuits under test
US7778790B2 (en) Semiconductor integrated circuit device and delay fault testing method
US20040153806A1 (en) Technique for testability of semiconductor integrated circuit
JP2006337289A (ja) 半導体集積回路装置とそのテスト方法
US20100017664A1 (en) Embedded flash memory test circuit
WO2009084424A1 (ja) 半導体テスト装置、半導体装置および試験方法
JP2005308500A (ja) 半導体集積回路装置及びテスト方法
JP2004212384A (ja) 半導体集積回路装置
JP5131025B2 (ja) デジタル信号遅延測定回路、及びデジタル信号遅延測定方法
JP2006145307A (ja) スキャンテスト回路
US8578227B2 (en) Delay test device and system-on-chip having the same
JP5612249B2 (ja) 半導体記憶装置
JP4684942B2 (ja) 半導体装置及び観測用フリップフロップの配置方法
US8539327B2 (en) Semiconductor integrated circuit for testing logic circuit
JP2007328852A (ja) 半導体装置
KR20050041706A (ko) 반도체 테스트 장치
JP4563791B2 (ja) 半導体集積回路
JP2006004509A (ja) 半導体集積回路およびハードマクロ回路
US10026502B2 (en) Method and memory controller

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120217

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120217

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130304

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130312

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130702