CN105575438B - 用于测试存储器的方法及装置 - Google Patents

用于测试存储器的方法及装置 Download PDF

Info

Publication number
CN105575438B
CN105575438B CN201410546844.6A CN201410546844A CN105575438B CN 105575438 B CN105575438 B CN 105575438B CN 201410546844 A CN201410546844 A CN 201410546844A CN 105575438 B CN105575438 B CN 105575438B
Authority
CN
China
Prior art keywords
memory
data
retention
flip
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201410546844.6A
Other languages
English (en)
Other versions
CN105575438A (zh
Inventor
赵云午
王浩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NXP USA Inc
Original Assignee
NXP USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NXP USA Inc filed Critical NXP USA Inc
Priority to CN201410546844.6A priority Critical patent/CN105575438B/zh
Priority to US14/556,228 priority patent/US9689919B2/en
Publication of CN105575438A publication Critical patent/CN105575438A/zh
Application granted granted Critical
Publication of CN105575438B publication Critical patent/CN105575438B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3177Testing of logic operation, e.g. by logic analysers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/18Address generation devices; Devices for accessing memories, e.g. details of addressing circuits
    • G11C29/26Accessing multiple arrays
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/50Marginal testing, e.g. race, voltage or current testing
    • G11C29/50016Marginal testing, e.g. race, voltage or current testing of retention
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/34Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices
    • G11C11/40Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors
    • G11C11/41Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using semiconductor devices using transistors forming static cells with positive feedback, i.e. cells not needing refreshing or charge regeneration, e.g. bistable multivibrator or Schmitt trigger

Abstract

本发明涉及用于测试存储器的方法及装置。在一种集成电路中,第一触发器扫描链被载入用于测试触发器的数据保持的数据,并且存储器被载入用于由存储器内建自测试(MBIST)包装器电路执行保持测试的数据。在数据被从存储器内读取之前,系统的一部分被置于低功率状态中达预定的时间段,并且处于低功率状态下的存储器的数据保持力被确定。

Description

用于测试存储器的方法及装置
技术领域
本发明一般地涉及包含内部存储器的集成电路,并且更特别地,涉及用于在低功率的状态下测试存储器的方法和装置。
背景技术
为了测试存储器件(例如,SRAM)的操作,已知的是执行保持测试,在该保持测试中,数据被写入存储器件,并且在一段等待时间之后被从存储器中读回并被验证为与最初写入存储器件的数据是一致的。
集成电路可以按照低泄漏模式,例如,其中用于该电路的至少一部分的时钟被停止的低泄漏停止(LLS)模式来操作。对电路的一些部分的功率供应同样可以得以降低。
保持测试可以在停止模式的存储器件上执行。但是,这样的测试不会表示出在停止模式中的实际的操作条件,因为对存储器件的至少一些输入在不表现出实际停止模式期间的条件的测试期间仍然可以被供电。因此,有利的是能够在各种操作模式的实际条件下测试存储器的保持。
附图说明
本发明连同本发明的目的和优点一起可以连同附图一起参考下面关于优选实施例的描述而得以最佳理解,在附图中:
图1是根据本发明的一种实施例的系统的示意性框图;
图2是根据本发明的一种实施例的存储器内建自测试包装器(wrapper);以及
图3是根据本发明的一种实施例的方法的流程图。
具体实施方式
以下结合附图所阐明的详细描述意指作为关于本发明的当前优选实施例的描述,并且并非意指表示可以用以实现本发明的唯一形式。应当理解,相同的或等效的功能可以通过意指包含于本发明的精神和范围之内的不同实施例来实现。在附图中,相同的附图标记用来在全篇中指示相同的元件。而且,,术语“包括”、“包含”或其任何其他变型均意指涵盖非排他性的包含,使得包含一系列元件或步骤的模块、电路、器件构件、结构及方法步骤不仅包含那些元件,而且可以包含没有明确列出的或此类模块、电路、器件构件或步骤所固有的其他元件或步骤。由“包含…”引入的元件或步骤在没有更多约束的情况下不排除包括该元件或步骤的附加的相同元件或步骤的存在。
在一种实施例中,本发明提供了用于在存储器处于低功率状态下测试集成电路的存储器的方法和装置。以此方式,存储器在更显示的操作条件下测试。而且,测试时间基本上不增加。
为了测试集成电路,例如,片上系统(SoC),该电路包含至少一个扫描链。测试可以作为用于测试电路的逻辑单元以检测制造缺陷的制造测试来执行。扫描链是用来存储用于使电路中的至少一部分逻辑初始化至已知状态的数据的触发器链。当进入扫描测试模式时,指示已知状态的数据被输入或被载入扫描链内。在扫描链内的数据被用来对电路的逻辑施加刺激。在扫描测的捕获阶段期间,逻辑的状态由扫描链捕获。在读取或卸载阶段期间,捕获值被移位到扫描链之外,以便验证被测试的系统或其一部分。基于扫描链的输出,可以验证系统,例如,验证其为无制造缺陷的。以下,扫描测试称为正常的扫描模式。
在本发明的实施例中,集成电路在正常测试模式中是可操作的,例如,在系统正受到使用至少一个扫描链进行的制造测试时。使用扫描链的扫描测试在正常的操作条件下执行,其中该系统在基本上正常的操作电压下是可操作的。该系统还包括低功率测试模式,在该低功率测试模式中,形成在正常扫描模式中所使用的扫描链的一部分的某些保持元件(例如,触发器)形成了用于测试处于低功率模式中的系统的存储器的存储器测试电路的一部分。在低功率测试模式中使用的扫描链由在正常扫描模式中使用的一些保持元件形成。存储器在低功率模式中针对数据保持进行测试,同时剩余的保持元件在低功率模式中针对数据保持进行测试。
现在参照图1,图中示出了根据本发明的一种实施例的电路或系统100的示意图。系统100可以是片上系统(SoC)。系统100包含一个或多个存储单元110、111、112,存储器内建测试(MBIST)包装器电路120,状态保持功率门控(SRPG)控制单元130、测试控制单元(TCU)140、电源管理控制(PMC)单元150、组合逻辑160以及一个或多个扫描链170、171、172。本领域技术人员应当理解,扫描链包含多个串联的触发器。
如上所述,在第一测试模式(即正常扫描模式)中,一个或多个触发器扫描链(FFs)170、171、172经由每个扫描链170、171、172各自的输入来加载数据。该一个或多个扫描链170、171、172由系统100内的触发器形成,包括在MBIST包装器电路120内的触发器。再如扫描链内的数据被提供给组合逻辑160,以便检测系统100内的缺陷。随测试之后,组合逻辑160的输出被存储于扫描链170、172、171内,并且经由每个扫描链170、171、172各自的输出176从其中读出或卸载。以此方式,可以检测到系统100内的缺陷。
存储单元110、111、112被提供用于将数据存储于系统100内。存储单元110、111、112可以是SRAM存储单元110、111、112。尽管图1示出了包含三个存储单元110、111、112的系统,但是应当理解,这仅仅是说明性的,并且在系统100中可以包含其他数量的存储单元。每个存储单元110、111、112都包含用于将数据存储于其内的存储单元阵列。
MBIST包装器电路120被布置用于测试存储单元110、111、112中的至少一个或全部的操作。例如,MBIST包装器电路120被布置用于在至少一个输入处于低电压时针对数据保持来测试存储单元110的操作。MBIST包装器电路120同样可以在系统100的正常操作电压模式中测试存储单元110的操作。MBIST包装器电路120还被布置用于在系统于低功率模式下运转时测试存储单元110的操作,该低功率模式可以称为低泄漏停止(LLS)模式。低功率模式是其中系统100的一部分在降低的电压下运转的模式。在低功率模式中,可以停止到系统100的至少一部分的时钟信号。
在低功率模式中,系统100的一部分的操作电压被降低,例如,降至基本上为0伏,然而系统100的保持元件(例如,触发器)的操作电压被保持以将状态信息保留于其内。当处于低功率模式中时,扫描测试可以被执行用于测试系统100的保持元件的操作。在低功率模式中执行的扫描测试可以是状态保持功率门控(SRPG)扫描测试。SRPG是其中在保持对诸如触发器之类的保持元件的功率的同时降低到逻辑的功率的模式。特别地,扫描测试被执行用于测试在低功率模式中由保持元件进行的数据保持。在低功率扫描测试中,一个或多个扫描链170、171、172经由输入175来加载数据,并且测试该一个或多个扫描链170、171、172在系统于低功率模式中运行时是否保持数据。该保持可以在处于SRPG模式中时测试。
MBIST包装器电路120包含被布置用于测试在低功率扫描测试正被执行时于存储单元110、111、112内的数据保持的逻辑121。有利地,这允许在系统100的其他逻辑(例如,组合逻辑160)处于低功率状态时测试存储单元110的数据保持,由此提供对存储单元110的操作更现实的测试。而且,对存储单元110的测试与对系统100的保持元件(例如,触发器)的测试同时执行,这会减少系统100的测试时间。
图2是包含多个触发器122的MBIST包装器电路120的示意性框图。触发器122按照第一、正常扫描模式来配置,用于形成扫描链,而在MBIST包装器电路120之外的其他触发器形成更多的扫描链,或者作为包含在MBIST包装器电路120之外的触发器的扫描链的一部分。触发器122可以在正常扫描模式中使用,用于测试组合逻辑160,如同前面所描述的。在低功率扫描模式中,MBIST包装器电路120的至少一些触发器122被排除于扫描链170、171、172之外。MBIST包装器电路120的触发器122在低功率扫描模式中使用,用于提供对存储单元110、111、112的保持测试。
SRPG控制单元130控制在低功率扫描模式中的MBIST包装器电路120。特别地,SRPG控制单元130在低功率扫描测试被执行时调用MBIST包装器电路120,并且在预定的时间段之后恢复(resume)MBIST包装器电路120的操作,在该时间段内于存储单元110、111、112内的数据保持在具有低操作电压的低功率模式下被测试。
TCU 140控制着对存储单元110、111、112的测试。当系统100在正常操作电压下工作时,TCU 140控制着在正常操作模式中的MBIST包装器电路120,以测试存储单元110、111、112的操作。
如图2所示,TCU 140可以在正常操作模式中给MBIST包装器电路120提供第一及第二输入TCU_INVOKE 141和TCU_RESUME 142,以分别调用和恢复MBIST包装器电路120的操作。尽管这些输入在图1中被提供给SRPG控制单元130,但是它们可以被认为是绕过SRPG控制单元130以经由SRPG控制单元130给MBIST包装器电路120提供的输入INVOKE 131和RESUME 132来控制MBSIT包装器电路120。而且,TCU 140给SRPG控制130提供另一输入,即SRPG_MODE输入143,该SRPG_MODE输入143指示出系统100正执行低功率扫描测试。在低功率扫描测试中,SRPG控制单元130被布置用于控制MBIST包装器电路120的INVOKE 131和RESUME 132输入。应当意识到,在单元之间的各种输入和输出在本发明的其他实施例中可以不同地布置。
PMC单元150被提供用于控制系统100的操作电压。PMC单元150和SRPG控制单元130接收指示系统正进入低功率模式的输入SRPG_ENTER 133。PMC单元150和SRPG控制单元130还接收在处于SRPG模式时被有效地激活的SRPG_DISCHARGE输入134。响应于SRPG_DISCHG输入134,PMC 150释放或降低系统100的一部分的操作电压,例如降至基本上为0伏。SRPG控制单元130接收输入SCAN_EN 135,该输入SCAN_EN 135指示一个或多个SRPG扫描链170、171、172的数据加载或卸载。数据经由输入175加载到SRPG扫描链170、171、172内,并且经由输出176卸载或读出。
MBSIT包装器电路120给SRPG控制单元130提供输出START_RET 123,该输出START_RET 123指示出对存储单元110、111、112的保持测试正在完成。
图3示出了根据本发明的一种实施例的可以在如图1所示的系统100中实现的方法300。在方法300被执行之前,系统100可在正常的操作电压下工作。
在步骤310中,系统100的低功率测试(例如,SRPG扫描测试)被启动。TCU 140可以在SRPG_MODE 143信号线上提供指示SRPG扫描测试正在被启动的输出。
在步骤320中,扫描数据被载入系统100的一个或多个扫描链内。扫描数据可以被载入一个或多个SRPG扫描链170、171、172内。扫描数据可以通过给各自的输入175提供数据并时钟同步数据使其进入扫描链170、171、172之内来载入每个扫描链170、171、172内,如同可以理解的那样。
在可以与步骤320至少部分并行地执行的步骤330中,开始对系统(例如,系统110)的一个或多个存储器的保持测试。步骤330可以包括SRPG单元130激活对MBIST包装器电路120的调用输入131。作为响应,MBIST包装器电路120将预定的保持测试数据载入存储器110。保持测试数据可以在存储器内形成用于测试存储器110的预定图形,例如,棋盘图形。
在步骤340中,一旦SRPG链170、171、172已经载入了扫描数据,并且保持测试数据已经存储于存储器110内,系统100就进入低功率模式。特别地,系统可以进入SRPG模式。在步骤340中,输入SRPG_ENTER 133可以被断言(assert)。响应于此,PMC 150促使系统进入低功率模式。在某些实施例中,在预定的时间段之后,系统的一部分的操作电压被降低至例如基本上为0伏。在一种实施例中,在可以为5μs的预定时间段之后,输入SRPG_DISCHG 134被断言,促使操作电压降低。至少对系统100的组合逻辑160操作电压被降低。
在步骤350中,允许流逝预定的时间段,在该时间段内由存储器110进行的保持数据的保持以及由一个或多个扫描链170、171、172进行的扫描数据的存储被测试。在步骤350中允许流逝的时长可以是存储器保持要求或扫描链存储要求中的较长者。
在步骤360中,系统100退出小功率模式。低功率模式可以通过对输入SRPG_DISCHG134的取消断言来退出。随取消断言之后,可以允许流逝预定的时间段(例如,1μs),以允许供电电压上升。随该时间段之后,输入SRPG_ENTER 133可以被取消断言以保留低功率模式。随取消断言之后,可以允许流逝预定的时间段(例如,5μs),以确保在功率模式之间的稳健切换。
在步骤370中,检查存储器110的数据保持。数据保持可以通过检索存储于存储器110内的至少一些保持测试数据并将检索到的数据与之前存储的数据进行比较来检查。如果检索到的数据匹配之前存储的数据,则保持测试通过。
在可以与步骤370至少部分并行地执行的步骤380中,在一个或多个扫描链170、171、172内的数据被检查。数据可以通过经由输出176从扫描链170、171、172中卸载数据并将所卸载的数据与之前存储的扫描数据进行比较来检查。如果所卸载的数据匹配之前存储的数据,则扫描测试通过。MBIST包装器电路120可以提供指示存储器测试被完成的至少一个输出125,例如,图1所示的BIST_DONE,以及指示保持测试失败的输出,例如,BIST_FAIL。
有利地,本发明允许在其他系统构件于低功率状态中操作时测试一个或多个存储单元,由此提供更现实的测试环境。特别地,该一个或多个单元的数据保持在从组合逻辑到存储器的输入处于低电压时被测试。而且,还可以减少系统的测试时间。测试时间通过在一个或多个扫描链或触发器的数据保持被测试的同时测试存储单元来减少。
关于本发明的优选实施例的描述已经为了说明和描述的目的而给出,但是并非意指是穷尽性的或者将本发明限制于所公开的形式。本领域技术人员应当意识到,在不脱离本发明的广泛发明概念的情况下可以对以上所描述的实施例进行改变。因此,应当理解,本发明并不限制于所公开的特定实施例,而是涵盖在所附权利要求书所限定的本发明的精神和范围之内的修改。

Claims (16)

1.一种用于测试集成电路的存储器的操作的方法,包括:
将第一数据载入第一触发器扫描链,用于在第一测试模式下测试所述触发器的数据保持;
将第二数据载入所述存储器,用于在低功率模式下由存储器内建自测试MBIST包装器电路执行保持测试;
将所述集成电路的至少一部分和所述存储器置于低功率状态中达预定的时间段;
从所述存储器中读取所述第二数据;
基于所读取数据确定在所述低功率状态中的所述存储器进行的所述第二数据的保持;
在第一测试模式下将第三数据载入所述第一触发器扫描链以及与所述MBIST包装器电路关联的第二触发器扫描链;
基于在所述第一触发器扫描链及第二触发器扫描链内的所述第三数据将所述集成电路的逻辑部分初始化到已知的状态;
基于在所述第一触发器扫描链及第二触发器扫描链内的所述第三数据来测试在正常功率模式中的所述集成电路的所述逻辑部分。
2.根据权利要求1所述的方法,还包括:从所述第一触发器扫描链中卸载所述第一数据并且基于所卸载数据验证所述第一触发器扫描链进行的数据存储。
3.根据权利要求1所述的方法,还包括:在所读取数据与载入所述存储器内的所述第二数据一致时输出指示所述存储器进行的数据的正确保持的信号。
4.根据权利要求1所述的方法,其中所述低功率模式是低泄漏停止模式。
5.根据权利要求1所述的方法,其中在所述低功率模式中,到所述集成电路的所述逻辑部分的时钟被停止。
6.根据权利要求1所述的方法,其中所述低功率模式是状态保持功率门控SRPG模式。
7.根据权利要求1所述的方法,其中所述预定的时间段是触发器数据保持要求和存储器保持要求中的较大者。
8.一种用于测试集成电路的存储器的操作的系统,包括:
用于存储数据的存储器;
与所述存储器耦接用于测试所述存储器的操作的存储器内建自测试MBIST包装器电路,其中在低功率模式中所述MBIST包装器电路在所述存储器内存储保持数据;
具有输入和输出的触发器扫描链,其中在扫描测试模式中所述触发器扫描链经由所述输入来接收扫描数据并且将所述扫描数据存储于其内;
组合逻辑;以及
用于控制至少所述组合逻辑的操作电压的电源管理控制PMC单元,
其中所述PMC单元启动低功率模式,在所述低功率模式中至少所述组合逻辑的操作电压被降低达预定时间段,并且
所述MBIST包装器电路从所述存储器中读取所述保持数据,并且基于所读取数据来确定所述存储器进行的数据的保持;
其中所述MBIST包装器电路包括被布置为形成第二扫描链的多个第二触发器,用于在扫描测试模式中初始化所述组合逻辑。
9.根据权利要求8所述的系统,其中所述扫描测试模式在系统操作电压下执行。
10.根据权利要求8所述的系统,其中所述MBIST包装器电路的所述第二触发器在用于将所述保持数据存储于所述存储器内的低功率扫描测试模式中被排除于所述触发器扫描链之外。
11.根据权利要求8所述的系统,其中所述组合逻辑的至少一部分与所述存储器耦接,使得与所述存储器的一个或多个连接在所述低功率模式中可操作于降低的操作电压下。
12.根据权利要求8所述的系统,还包括:状态保持功率门控SRPG单元,被布置为测试在所述低功率模式中的所述触发器扫描链进行的数据的保持。
13.根据权利要求8所述的系统,其中所述MBIST包装器电路输出指示在所述低功率模式中的所述存储器进行的数据的正确保持的信号。
14.根据权利要求8所述的系统,其中所述存储器进行的数据的保持与在所述低功率模式中的所述触发器扫描链进行的数据的保持同时进行测试。
15.根据权利要求8所述的系统,其中所述低功率模式是状态保持功率门控SRPG模式。
16.一种集成电路,包括:
存储器,用于存储数据;
存储器测试单元,与所述存储器耦接用于测试所述存储器的操作;
多个触发器,被一起连接成串行扫描链;
电源管理控制单元PMCU,用于控制所述集成电路的一部分的操作电压;以及
测试控制单元TCU,用于在第一测试模式下将扫描数据载入触发器的所述串行扫描链内,并且促使所述存储器测试单元在低功率模式下将保持数据载入所述存储器内用于存储器保持测试,
其中所述PMCU降低所述集成电路的逻辑部分和所述存储器的操作电压达预定的时间段,
所述TCU确定在所述操作电压的降低之后所述存储器内的所述数据的保持;以及
所述存储器测试单元包括被布置为形成第二扫描链的多个第二触发器,其中所述测试控制单元TCU还用于在第一测试模式下将扫描数据载入第二触发器的所述第二扫描链内。
CN201410546844.6A 2014-10-16 2014-10-16 用于测试存储器的方法及装置 Active CN105575438B (zh)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CN201410546844.6A CN105575438B (zh) 2014-10-16 2014-10-16 用于测试存储器的方法及装置
US14/556,228 US9689919B2 (en) 2014-10-16 2014-11-30 Method and apparatus for testing memory

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
CN201410546844.6A CN105575438B (zh) 2014-10-16 2014-10-16 用于测试存储器的方法及装置

Publications (2)

Publication Number Publication Date
CN105575438A CN105575438A (zh) 2016-05-11
CN105575438B true CN105575438B (zh) 2020-11-06

Family

ID=55749567

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201410546844.6A Active CN105575438B (zh) 2014-10-16 2014-10-16 用于测试存储器的方法及装置

Country Status (2)

Country Link
US (1) US9689919B2 (zh)
CN (1) CN105575438B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106291313B (zh) * 2015-06-10 2021-06-11 恩智浦美国有限公司 用于测试集成电路的方法和设备
US10248486B2 (en) * 2016-09-29 2019-04-02 Intel Corporation Memory monitor
CN106816178B (zh) * 2016-12-19 2020-02-21 北京时代民芯科技有限公司 一种单芯片上多块嵌入式存储器的内建自测试设计方法
JP6878071B2 (ja) * 2017-03-21 2021-05-26 株式会社東芝 半導体集積回路及び半導体集積回路の診断方法
US10663515B2 (en) * 2017-11-01 2020-05-26 Nvidia Corp. Method and apparatus to access high volume test data over high speed interfaces
US10685730B1 (en) 2018-03-20 2020-06-16 Seagate Technology Llc Circuit including efficient clocking for testing memory interface
CN110047551B (zh) * 2019-04-23 2020-05-22 江苏科大亨芯半导体技术有限公司 一种sram输入路径时序测试电路及测试方法
TWI707351B (zh) * 2019-07-25 2020-10-11 瑞昱半導體股份有限公司 記憶元件測試電路及記憶元件測試方法
CN112309482A (zh) * 2019-07-31 2021-02-02 瑞昱半导体股份有限公司 存储元件测试电路及存储元件测试方法
US11933843B2 (en) * 2020-07-17 2024-03-19 Intel Corporation Techniques to enable integrated circuit debug across low power states

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101144847A (zh) * 2006-09-14 2008-03-19 国际商业机器公司 集成电路和指定集成电路的方法
CN101162258A (zh) * 2006-10-13 2008-04-16 恩益禧电子股份有限公司 半导体集成电路及其控制方法
JP2008256627A (ja) * 2007-04-09 2008-10-23 Kawasaki Microelectronics Kk 半導体集積回路の検査方法
CN101587754A (zh) * 2008-05-20 2009-11-25 卓胜微电子(上海)有限公司 基于扫描链的存储器测试装置及其使用方法
CN101644742A (zh) * 2008-06-26 2010-02-10 益华公司 测试低功率系统中的状态保留逻辑
CN101743598A (zh) * 2007-07-13 2010-06-16 飞思卡尔半导体公司 用于存储器的动态电压调节
CN102013270A (zh) * 2009-09-07 2011-04-13 瑞萨电子株式会社 半导体集成电路

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH081457B2 (ja) * 1989-09-29 1996-01-10 株式会社東芝 ディジタル集積回路におけるテスト容易化回路
US5592493A (en) 1994-09-13 1997-01-07 Motorola Inc. Serial scan chain architecture for a data processing system and method of operation
US5761215A (en) 1997-06-03 1998-06-02 Motorola, Inc. Scan based path delay testing of integrated circuits containing embedded memory elements
US6574762B1 (en) 2000-03-31 2003-06-03 Lsi Logic Corporation Use of a scan chain for configuration of BIST unit operation
EP1231608A1 (en) * 2001-02-07 2002-08-14 STMicroelectronics Limited Built-in test circuit and method for an integrated circuit
US7000164B2 (en) * 2002-01-30 2006-02-14 Sun Microsystems, Inc. Method for scan testing and clocking dynamic domino circuits in VLSI systems using level sensitive latches and edge triggered flip flops
US7103814B2 (en) 2002-10-25 2006-09-05 International Business Machines Corporation Testing logic and embedded memory in parallel
DE102004009693A1 (de) 2004-02-27 2005-10-13 Advanced Micro Devices, Inc., Sunnyvale Technik zum Kombinieren eines Abtasttests und eines eingebauten Speicherselbsttests
US7805644B2 (en) 2007-12-29 2010-09-28 Texas Instruments Incorporated Multiple pBIST controllers

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101144847A (zh) * 2006-09-14 2008-03-19 国际商业机器公司 集成电路和指定集成电路的方法
CN101144847B (zh) * 2006-09-14 2012-05-23 国际商业机器公司 集成电路和指定集成电路的方法
CN101162258A (zh) * 2006-10-13 2008-04-16 恩益禧电子股份有限公司 半导体集成电路及其控制方法
JP2008256627A (ja) * 2007-04-09 2008-10-23 Kawasaki Microelectronics Kk 半導体集積回路の検査方法
CN101743598A (zh) * 2007-07-13 2010-06-16 飞思卡尔半导体公司 用于存储器的动态电压调节
CN101587754A (zh) * 2008-05-20 2009-11-25 卓胜微电子(上海)有限公司 基于扫描链的存储器测试装置及其使用方法
CN101644742A (zh) * 2008-06-26 2010-02-10 益华公司 测试低功率系统中的状态保留逻辑
CN102013270A (zh) * 2009-09-07 2011-04-13 瑞萨电子株式会社 半导体集成电路

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
用于低功耗设计和测试的自适应触发器;周锦锋;《计算机辅助设计与图形学学报》;20040331;第16卷(第3期);第355-359页 *

Also Published As

Publication number Publication date
US20160111170A1 (en) 2016-04-21
CN105575438A (zh) 2016-05-11
US9689919B2 (en) 2017-06-27

Similar Documents

Publication Publication Date Title
CN105575438B (zh) 用于测试存储器的方法及装置
TWI225199B (en) Hierarchical test methodology for multi-core chips
US7962821B2 (en) Built-in self testing circuit with fault diagnostic capability
US7313739B2 (en) Method and apparatus for testing embedded cores
US7610528B2 (en) Configuring flash memory
US8461865B2 (en) Logic built-in self-test system and method for applying a logic built-in self-test to a device under test
US20010014959A1 (en) Probeless testing of pad buffers on wafer
US9897653B2 (en) Scan chain circuit supporting logic self test pattern injection during run time
TWI389129B (zh) 積體電路裝置
US8811101B2 (en) SIP semiconductor system
JP2013537345A (ja) 内蔵自己試験を使用するデバッガベースのメモリダンプ
CN106291313B (zh) 用于测试集成电路的方法和设备
CN108369522A (zh) 通过专用处理器对片上系统的硬件上电初始化
US20080082884A1 (en) Test control circuit
US20130311843A1 (en) Scan controller configured to control signal values applied to signal lines of circuit core input interface
US8924801B2 (en) At-speed scan testing of interface functional logic of an embedded memory or other circuit core
US20140365838A1 (en) Integrated circuit comprising test circuitry for testing fan-out paths of a test control primary input
US8099640B2 (en) Shared diagnosis method for an integrated electronic system including a plurality of memory units
US20210027854A1 (en) Memory device test circuit and memory device test method
US20140281764A1 (en) Data path memory test
US7216262B2 (en) RAM diagnostic read circuit providing external integrated circuit RAM failure diagnosis and method
KR100769041B1 (ko) 테스트를 위한 집적회로 장치
Im et al. Advanced safety test solution for automotive SoC based on In-System-Test architecture
JPWO2009037769A1 (ja) 半導体集積回路装置および半導体集積回路装置の試験方法
CN117980750A (zh) 检测计算设备中的功率输送网络边际性

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
CB02 Change of applicant information

Address after: Texas in the United States

Applicant after: NXP America Co Ltd

Address before: Texas in the United States

Applicant before: Fisical Semiconductor Inc.

CB02 Change of applicant information
GR01 Patent grant
GR01 Patent grant