JP5600394B2 - マイクロ波プラズマ反応装置 - Google Patents

マイクロ波プラズマ反応装置 Download PDF

Info

Publication number
JP5600394B2
JP5600394B2 JP2008526529A JP2008526529A JP5600394B2 JP 5600394 B2 JP5600394 B2 JP 5600394B2 JP 2008526529 A JP2008526529 A JP 2008526529A JP 2008526529 A JP2008526529 A JP 2008526529A JP 5600394 B2 JP5600394 B2 JP 5600394B2
Authority
JP
Japan
Prior art keywords
gas
chamber
resonant cavity
reaction apparatus
waveguide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2008526529A
Other languages
English (en)
Other versions
JP2009504393A5 (ja
JP2009504393A (ja
Inventor
マリレナ ラドイウ
ジェイムズ ロバート スミス
アンドリュー ジェイムズ シーリー
Original Assignee
エドワーズ リミテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エドワーズ リミテッド filed Critical エドワーズ リミテッド
Publication of JP2009504393A publication Critical patent/JP2009504393A/ja
Publication of JP2009504393A5 publication Critical patent/JP2009504393A5/ja
Application granted granted Critical
Publication of JP5600394B2 publication Critical patent/JP5600394B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Description

本発明は、マイクロ波プラズマ反応装置に関する。装置は、プラズマ減少システムに特定の用途を見い出されるが、本発明はそうしたシステムに制限されるものではない。
CF4、C26、NF3、及びSF6は、半導体製造産業において一般的に使用され、例えば、誘電層のエッチング及びチャンバのクリーニングに使用される。製造工程又はクリーニング工程の後には、代表的に、処理ツールから送出された流出ガス流れの中に、残留PFCの内容物が存在する。PFCは、流出流れから除去するのが難しく、それらは比較的高い温室ガス効果を有することが知られているので、環境に放出するのは好ましくない。
プラズマ減少は、PFCを損害の少ない種に劣化させるための効果的な方法であることが判明した。プラズマ減少工程においては、破壊すべき種を含む流出ガスを、高密度プラズマの中に流入させ、プラズマ内の強力な状態の下で、PFCは強力な電子の衝突に曝され、反応種に解離し、酸素又は水素と化合して、比較的安定な、低い分子量の副産物、例えば、CO、CO2、及びHFを生成し、これらは更なる処理段階にて除去される。
ひとつの形態による既知のプラズマ減少においては、プラズマは、マイクロ波プラズマである。マイクロ波プラズマ反応装置の例は、英国特許第GB2,273,027号に開示されている。その装置においては、マイクロ波プラズマは、チャンバ内に近接して対向する関係で配置された2つの電極の間に発生し、一方の電極は軸線孔を有し、これを通って励起されたガス媒体がチャンバから排気される。
英国特許第GB2,273,027号
そうした反応装置においては、マイクロ波放射は、マイクロ波発生器から導波管によってチャンバの中へ運ばれる。導波管によってマイクロ波発生器の中へ反射して戻るマイクロ波放射の量を減少させるため、導波管には1つ又は複数の同調ネジが設けられ、内部に向けて実質的に直交して延びている。それぞれのネジが導波管の中に延入する量を調整することで、導波管によってマイクロ波発生器の中に反射して戻るマイクロ波放射の量を最小化できる。しかしながら、同調ネジの存在は、プラズマへのエネルギー吸収を抑えるように働く。
本発明によって提供されるマイクロ波プラズマ反応装置は、反応チャンバと、反応チャンバの内部に配置されたマイクロ波共鳴キャビティと、マイクロ波放射を共鳴キャビティに導くための導波管であって、収束性のテーパ部分を有してなる導波管と、共鳴キャビティの内部にプラズマを持続させるために、マイクロ波放射から、共鳴キャビティの内部に電磁定在波を形成するための手段と、ガス入口及びガス出口を有してなる共鳴キャビティと、ガス入口からガス出口へ流れるガスと共に、共鳴キャビティから運ばれたプラズマを収容すべくガス出口から延びてなる導管手段と、を備えていることを特徴とする。
導波管におけるテーパ部分の存在は、共鳴キャビティの内部に発生したプラズマに吸収されるエネルギーを減少させることなく、導波管を同調させて、導波管の内部のマイクロ波放射の反射を阻止するように働く。
導波管におけるテーパ部分は、好ましくはチャンバに隣接して配置され、好ましくはその長さは、マイクロ波放射の伝播方向において、マイクロ波放射の波長の略半分に等しくなっている。
導波管におけるテーパ部分は、好ましくは、マイクロ波放射を受けるための第1の好ましくは実質的に矩形である端面を有し、マイクロ波放射のTE01電場に実質的に平行な第1の高さを有し、及び、第2の好ましくは実質的に矩形である端面を、第1の端面とは反対側に有し、第1の高さに比べて小さい第2の高さを有する。第2の端面の高さは、好ましくは、共鳴キャビティの高さと実質的に等しい。導波管の高さを、第1の高さから第2の高さへと減少させることによって、電場の強度は増加する。導波管におけるテーパ部分は、好ましくは、2つの収束する側面を備え、それぞれ端面間に延在し、第1の端面に対して鋭角に傾斜している。
矩形の導波管であって、電場に対して直交して略同じ幅を有するものにおいては、電場の強度の増加は、導波管の高さの減少に正比例している。電場の強度の増加によって充分に、共鳴キャビティの内部には、マイクロ波放射からプラズマが発生できる。
導管手段の内部にプラズマを収めるため、好ましくは、導管手段を冷却するための手段が設けられる。例えば、水又はその他の水性溶液などの冷媒を、導管手段の外面に噴霧するための手段が設けられて、導管手段を冷却する。
共鳴キャビティの内部に電磁定在波を形成する手段は、好ましくは、共鳴キャビティからマイクロ波放射を受けるために、反応チャンバにおける導波管とは反対側に取り付けられた第2のチャンバを備え、マイクロ波放射を反射して共鳴キャビティの中へ戻すために、チャンバを通るマイクロ波の伝播の方向に対して実質的に垂直に延びてなる端面を有している。共鳴キャビティの内部のプラズマへのエネルギー吸収を最適化するために、第2のチャンバは、好ましくは、第2のチャンバの端面から間隔を隔てられた同調手段を収容している。同調手段は、第2のチャンバを通るマイクロ波放射の伝播方向に対して垂直に延びてなる、好ましくは円筒形の導電同調器を備えていて、好ましくは、第2のチャンバを同調すべく、第2のチャンバに対して可動になっている。
共鳴キャビティは、好ましくは、少なくともひとつの誘電性インサートを内部に配置されて備え、ガス入口からガスを受けてガス出口へガスを運ぶために、実質的に円筒形のガスチャンバを形成し、ガス出口はガスチャンバと実質的に同軸的になっている。ガスチャンバは、円形又は楕円形の横断面を有している。少なくともひとつの誘電性インサートは、2枚の誘電性プレート部材を備え、それぞれが湾曲した側壁部分を有しているならば都合が良い。
共鳴キャビティの内部の電場を増加させるために、反応装置は、好ましくは、共鳴キャビティの内部の電磁場の中に突出してなる導電部材を備え、好ましくは、電場の強度がピークになる位置に設けられ、好ましくは、反応チャンバを通るマイクロ波の伝播方向に対して実質的に垂直に、電磁場の中に延入する。発明者が見い出したところによれば、単一の導電部材が共鳴キャビティの中に突出して存在すると、電場の強度を、例えば2〜6kWなどの比較的低い出力を有するマイクロ波放射によって、プラズマが点火して維持される程度にできる。
反応装置の使用中における導電部材の浸食のために、導電部材は、好ましくは、共鳴キャビティの中に突出してなる交換可能なチップを備えている。チップは、好ましくは、タングステン、銅、又はタングステン合金などの耐腐食性及び耐熱性の材料から形成される。チップに冷却を提供して、反応装置の使用中における、その寿命を伸ばすために、チップは任意的には中空であり、そのまわりに延在する複数の開口部を備えている。共鳴キャビティにおけるガス入口とガス出口との間を流れるガスは、チップを冷却するために開口部を通り抜ける。それぞれの開口部は、好ましくは、マイクロ波放射が通過できるサイズの直径を有している。
導電部材は、好ましくは、チップに係合してなる導電本体部分を備えている。環状の冷媒通路が設けられ、環状通路は導電本体部分のまわりに延在し、冷媒を環状通路に及び環状通路から運ぶ手段を備えている。
導電本体部分は、好ましくは、共鳴キャビティを通って運ばれたガスと反応するための反応物を受け入れるためのガス入口ポートを備え、導電本体部分は、好ましくは、反応物を共鳴キャビティの中に運ぶための通路を備えている。反応装置が、プラズマ減少システムの一部として提供される場合には、チャンバを通して運ばれて、減少させるべきガスの組成に依存して、減少させるべきガスと反応させるために、共鳴キャビティの中に反応物を導入するのが有利である。例えば、減少させるべきガスが、パーフルオロ又はハイドロフルオロカーボン化合物、例えば、CF4、C26、C38、C48、NF3、及びSF6のうちのひとつである場合には、H2又はH2Oなどの反応物を、導電部材のボア孔を通して共鳴キャビティの中に運び入れ、プラズマ内にH又はOHのラジカルを形成して、減少させるべきガスと反応させる。
導電部材は、好ましくは、ガス出口の反対側に配置される。ガス入口は、好ましくは、前記少なくともひとつの誘電性インサートを通って延びていて、好ましくは、ガスチャンバの中に実質的に接線方向にガスを導入するように配置されている。これは、チャンバの内部にてガスを螺旋状とし、プラズマを最適化するために、チップの下方にてガスの均一な圧力低下を達成する。反応チャンバは、好ましくは、その側壁に配置された透明な点検窓を備え、前記少なくともひとつの誘電性インサートは、ガスチャンバと窓との間に延びた、ボア孔を形成されて有している。
導電部材が電場内に存在することは、導波管と共鳴キャビティとの間のインピーダンスマッチングを乱し、これは、導波管を通ってマイクロ波発生器へ向かって戻る、マイクロ波放射の反射につながり、プラズマへのエネルギー吸収を減少させる。反射したエネルギーが高過ぎるならば、マイクロ波発生器は破損する。従って、導電部材と同軸的に同調器が設けられ、導電部材の平面のインピーダンスを、マイクロ波放射の周波数において、導波管のインピーダンスと整合させる。
導電部材は、好ましくは、チャンバに取り付けられたホルダーの内部に保持される。チャンバは、好ましくは、共鳴キャビティに隣接して配置された円筒形のボア孔を備え、ホルダーはボア孔の中に延入している。ホルダー及びチャンバの両方は、好ましくは、導電部材から形成され、ホルダーはチャンバと電気的に接触している。ホルダーは、好ましくは、外方に延びるスカートを備え、チャンバに取り付けられて、チャンバとホルダーとの間に、気密シールを形成している。スカートは、チャンバと電気的に接触する。別の方法としては、スカートから下向きに吊下した環状リングを、チャンバと電気的に接触させても良い。スカートのベースと、導電部材の端部との間の距離は、好ましくは、共鳴キャビティの内部における電磁場を最大化するように選択される。ホルダーは、好ましくは、細長い本体部分を備え、導電部材のチップを保持するための円錐形の突起部を有している。
導電部材は、共鳴キャビティの内部にプラズマを点火させるための手段を収容している。プラズマを点火させる手段は、好ましくは、グロー放電を発生させる手段を備え、好ましくは、導電部材の内部に配置された細長い電極を備えている。好ましくは、グロー放電ガスが電極に運ばれて、グロー放電を形成し、導電部材は、プラズマの点火のために、共鳴キャビティにグロー放電ガスを運べる通路を提供する。グロー放電ガスは、窒素、又は希ガス、又は任意のその他の実質的に不活性でイオン化可能なガスである。共鳴キャビティを通って運ばれるガスと反応するために、反応物が必要な場合には、反応物はグロー放電ガスに含有される。
グロー放電を開始(initiate)するのに充分に高い電圧を提供し、グロー放電を、好ましくは、少なくとも0.1秒間、持続させるために、回路が設けられる。グロー放電の発生は、共鳴キャビティの内部にてプラズマが点火した後に終了し、従って、グロー放電は、最大10秒間、又は、例えば最大5秒間、又は、例えば、1〜5秒間にわたって発生する。
反応装置は、好ましくは、マイクロ波放射を発生するためのマイクロ波発生器を備えている。プラズマを点火する手段を提供するのに代えて、発生器は、例えば、2〜6kWなど、共鳴キャビティの内部にプラズマを点火するのに充分な出力のマイクロ波放射を発生するように構成しても良い。発生器は、所定の時間期間、例えば、1〜5秒後には、マイクロ波放射を発生させる出力を、例えば、2〜3kWに減少させるように構成される。
また、本発明は、処理ツールからのガス流れ排気を処理するための装置を提供し、装置は前述したようなマイクロ波プラズマ反応装置を備えている。
本発明の好ましい特徴について、以下、単に例示的に、添付図面を参照しつつ説明する。
図1乃至図4を参照すると、マイクロ波プラズマ反応装置10は、導電ハウジング12を備えている。ハウジング12は、好ましくは、例えばステンレス鋼などの導電材料から形成されているか、または、導電性の内面を有している。図示の如く、ハウジング12は、矩形の横断面を有している。ハウジング12には、例えば、機械加工によって、スロット14が形成され、反応装置10の共鳴キャビティを提供するために、ハウジング12の幅を横切って延びている。共鳴キャビティ14の一端は、導波管16に結合され、マイクロ波放射を、マイクロ波発生器(図示せず)から共鳴キャビティ14に運ぶ。共鳴キャビティ14の他端は、短絡回路18に結合されている。
導波管16は、第1の、実質的に矩形である本体部分20を備え、その高さh1は、導波管16を通して共鳴キャビティ14に運ばれるマイクロ波放射のTE01電場に対して実質的に平行であり、幅wは、マイクロ波放射の電場に対して直交している。また、導波管16は、第2の、実質的に矩形である本体部分22を共鳴キャビティ14に面して備え、第2の本体部分22は、高さh2と幅wとを有し、ここで、h2<h1になっている。図示の例においては、第2の本体部分22の高さは、第1の本体部分20の高さに比べて、略1/3になっている。
導波管16はさらに、第1の本体部分20と第2の本体部分22との間に配置されてなる、テーパの付いた幅wの収束部分24を備えている。テーパ部分24は、高さh1及び幅wの第1の本体部分に隣接した、第1の端面25aと、高さh2及び幅wの第2の本体部分に隣接した、第2の端面25bとを備えている。テーパ部分24はさらに、端面25a,25bの間に延びた、第1及び第2の側面25c,25dを備え、第2の側面25bに対して鋭角に傾斜して、第1及び第2の本体部分20,22は同軸的になっている。テーパ部分24は、導波管16を通るマイクロ波放射の伝播方向に長さLを有し、ここで、Lは、マイクロ波放射の波長の略半分に等しい。
短絡回路18は、共鳴キャビティ14の反対側に、導波管16の延長部を提供する。短絡回路18は、導波管16の第2の部分22の端部から間隔を隔てた端部プレート26によって、部分的に区画されてなるチャンバ27を備え、入射したマイクロ波放射は、端部プレート26で反射して、共鳴キャビティ14の内部に電磁定在波を形成する。導波管16の第2の部分22の端部に対して、端部プレート26の位置は調整可能になっている。
短絡回路18は、端部プレート26から間隔を隔てて、短絡回路18を同調させるための同調器29を備えている。図示の例においては、同調器は、短絡回路18の上面に螺入されたネジ29を備え、ネジの本体は、チャンバ27を通るマイクロ波放射の伝播方向に対して実質的に垂直に、チャンバ27の中に延入している。ネジ29の頭部29aを回すことで、ネジ29の端部は、チャンバの内部にて上下して、短絡回路18を同調する。
共鳴キャビティ14は、2枚の誘電性プレート部材28,30を収容していて、これらは好ましくは、PTFE又はその他の適切な耐食性を有する適当な材料から形成され、共鳴キャビティ14を通して運ばれるマイクロ波放射に対して実質的に透明になっている。それぞれのプレート部材28,30は、共鳴キャビティ14を通るマイクロ波放射の伝播方向に対して直交して延びてなる平坦な側壁部分32を有し、共鳴キャビティ14の内部に、実質的に円筒形のガスチャンバ36の一部分を形成してなる、湾曲した側壁部分34を有している。ガスチャンバ36は、円形又は楕円形の横断面を有している。
それぞれのプレート部材30,32は、それらに形成された第1のボア孔38を有し、共鳴キャビティ14のガスチャンバ36へ入るガス入口を提供している。図示の反応装置10においては、片方のボア孔38は、ハウジング12の側壁に形成されたガス入口ポート40に整列され、ハウジング12に取り付けられた第1のガス導管42からガスを受け入れる。第2のガスポートは、第2のガス導管からガスを受けるために、ハウジング12の反対側の側壁に任意的に形成され、このガスは、第1のガス導管42からガスチャンバ36に入るガスと、同一であるか又は異なるガスである。それぞれのガス入口は、好ましくは、第1のガス導管40から実質的に接線方向にガスチャンバ36にガスが入るように構成され、これにより、ガスチャンバ36の中心に向けて、ガスはガスチャンバ36の内部で内方へ渦巻く。ガス出口ポート44は、ガスチャンバ36から第2のガス導管46へとガスを運ぶために、ハウジング12のベースに形成されている。ガス出口ポート44は、ガスチャンバ36を横切って延び、好ましくはガスチャンバ36と同軸的に延びる。
また、それぞれのプレート部材30,32は、それぞれに形成されたより小さな第2のボア孔48を有している。一方のボア孔48は、ハウジングの側壁に形成された開口部50に整列され、透明なカバープレート52によって閉じられ、ユーザが、反応装置10の使用中に、共鳴キャビティ14のガスチャンバ36の内部に発生したプラズマを観察できるように、点検窓を提供している。
また、ハウジング12には、円筒形のボア孔54が形成され、ボア孔54は、共鳴キャビティ14を横切って延在し、共鳴キャビティと共に、反応装置10の反応チャンバを形成している。ボア孔54は、好ましくは、ガスチャンバ36及びガス出口ポート44に対して、実質的に同軸的になっている。ボア孔54は、導電組立体56を受け入れる。組立体56は、導電部材58と、導電部材58を保持するためのホルダー60とを備えている。
導電部材58は、細長い管62を備え、これは、銅又はその他の導電材料から形成され、図5に詳しく示すように、管状のチップ64と係合する。チップ64は、好ましくは、耐食性で耐熱性の材料、例えば、タングステン又はタングステン合金、例えば、タングステンとランタンとの合金から形成されている。チップ64には、そのまわりに延在する複数の開口部65が設けられ、ガス入口38とガス出口44との間のガス流れが、チップ64を通って半径方向に通過し、それにより、チップ64の冷却を高めている。開口部65は、好ましくは、マイクロ波放射が開口部65を通り抜けるような寸法になっている。
ホルダー60は、好ましくは、導電材料、例えば、ステンレス鋼又は陽極処理アルミニウムなどから形成される。導電部材58とホルダー60とは、好ましくは、反応装置10の使用中には、電気的に接地される。ホルダー60は、中空の内部を有し、その中には、導電部材58における管62及びチップ64が、好ましくは摺動嵌合している。ホルダー60は、外方へ延びたスカート68を有してなる第1の本体部分66を備え、ハウジング12におけるボア孔54のフランジ状開口部70に配置されている。スカート68とフランジ状開口部70との間には、Oリング72が設けられて、気密シールを形成しており、スカート68は、そのまわりに延びるクランプチェーン74によってフランジ状の開口部70にクランプされている。図3に示すように、Oリング72は、好ましくは、反応チャンバの外側に、従って、反応チャンバの内部に発生する電磁場の外側に配置され、スカート68から下向きに吊下された環状リング76のまわりに延在し、環状リングは、ボア孔54の直径と実質的に等しい内径を有し、ハウジング12と電気的に接触している。代わりに、スカート68のベースをハウジング12に電気的に接触させても良い。
ホルダー60はさらに、ボア孔54の中に延在してなる、第2の細長い本体部分78を備えている。ホルダー60における第2の本体部分78は、円錐形の突起部80にて終端し、好ましくは、ガスチャンバ36の中に突出していない。第2の本体部分78の内径は、円錐形の突起部80にて減少していて、チップ64をホルダー60の内部に保持するために、チップ64のリム84に係合する肩部82を提供している。ホルダー60における第2の本体部分78は、好ましくは、第1の本体部分66及びスカート68の両方と一体的になっている。
図5に示すように、チップ64は、好ましくは、ガスチャンバ36の中に突出している。チップ64の長さ、及び/又は、ホルダー60における第2の本体部分78の長さは、好ましくは、共鳴キャビティ14に供給されるマイクロ波放射の周波数に応じて、共鳴キャビティ14の内部に発生した定在波の中に、チップ64が所定の距離だけ延入するように選択される。
管62は、好ましくは、ホルダー60と電気的に接触して保持される。図示の通り、金属バネ86又はその他の導電要素がホルダー60の内部に配置され、バネ86の一端は、管62に形成された第1の環状の突起部88に係合し、バネ86の他端は、ホルダー60に螺入した金属ロックナット90に係合している。
管62は、第1の環状の突起部88から間隔を隔ててなる、第2の環状の突起部92を有し、ホルダー60と管62との間に環状の通路94を形成している。冷却水の流れは、環状の通路94に供給され、水は、ホルダー60を通り抜ける冷媒入口ポート96を通って環状の通路94に供給され、冷媒入口ポート96の実質的に反対側に配置された、ホルダー60を通り抜ける冷媒出口ポート98を通って、環状の通路94から排出される。
共鳴キャビティに運ばれる電磁放射の出力によっては、共鳴キャビティ14の内部に生成される電場の強度は、ガスチャンバ36の内部でプラズマを点火するのに不十分である。従って、導電部材58の内部には、グロー放電電極組立体100が収容される。電極組立体100は、プラズマ点火用のグロー放電電極102を備え、これは、導電部材58の管62内で同軸的に、間隔を隔てた、細長い高電圧電極の形態になっている。コネクタ104は、電極102を電源に接続する。電極102は、ロックナット90と同軸的に配置された、相補的なネジ孔に螺入されている。ガス入口106は、管62の内部に形成され、窒素、希ガス、又は任意のその他の実質的に不活性でイオン化可能なガスなどのグロー放電ガスの流れを、ホルダー60の第1の本体部分66を半径方向に通って延在するガス入口ポート108から受ける。ガス入口ポート108は、ガス入口ポート108をグロー放電ガスの源に結合するために、ホルダーに取り付けられたコネクタ110から、グロー放電ガスを受ける。ガス入口106は、好ましくは、導電部材58における管62のボア孔に対して接線方向に配置され、導電部材58のチップ64に向かう略下向きの、電極102のまわりにおける螺旋流れの経路の形成を促進する。
グロー放電電極組立体を設ける代わりに、マイクロ波発生器の構成を、初期の1〜5秒間、電磁場の出力を例えば略6kWと比較的高くすることで、ガスチャンバ36の内部のプラズマに点火させても良い。マイクロ波発生器は、その後、マイクロ波放射の出力を減少させて、例えば2〜3kWとし、または、ガスチャンバ36の内部にプラズマを維持するのに充分な任意のその他の出力とするように構成しても良い。
図2に示すように、ホルダー60がハウジング12に取り付けられたとき、導電部材58は、ガスチャンバ36を通るマイクロ波の伝播方向に対して実質的に垂直に、ボア孔54の中に延入し、ガスチャンバ36及びガス出口ポート44の両方に対して、実質的に同軸的になっている。導電部材58におけるチップ64は、好ましくは、反応装置10の使用中に、共鳴キャビティ14の内部に形成される電磁場が最大強度になる位置に配置される。
反応装置10の使用中に、ガスチャンバ36を、好ましくは20〜150℃の温度に、昇温させて維持するための手段が設けられる。例えば、ガスチャンバ36は加熱されて断熱され、または、制限された冷却が与えられ、ガスチャンバ36を所望の昇温温度に又はその温度近くに維持する。
反応装置10の通常の使用中には、環状の通路94に、冷却水の流れが供給される。ガス入口ポート40を通して、ガスチャンバ36の中にはガスが送入され、ガスチャンバ36の内部を螺旋状に流れ、導電部材58のチップ64の下方を通ってから、ガス出口ポート44を通ってガスチャンバ36を去り、第2のガス導管46に入る。
マイクロ波放射は、導波管16によるマグネトロンのために、共鳴キャビティ14に送られ、従って、ガスチャンバ36に入る。短絡回路18における端部プレート26は、マイクロ波を反射して、共鳴キャビティ14の内部に定在波を形成し、導電部材58のチップ64は、ガスチャンバ36の内部の電磁場を強化する。導波管16におけるテーパ部分24は、導波管16における第1及び第2の本体部分20,22の間の境界からのマイクロ波放射の伝達及び反射を阻止するように働き、プラズマのエネルギー吸収を最大化する。導電部材58のチップ64に対するホルダー60のスカート68の下面112の幾何学形状及び位置決めを選択して、スカート68の下面112が、ハウジング12のボア孔54の内面及びホルダー60の第2の本体部分の外面と共に、同軸的な同調器を提供し、マイクロ波放射の周波数において、導電部材58の平面のインピーダンスを、導波管16のインピーダンスに整合させる。
ガスチャンバ36の内部でプラズマを点火するために、グロー放電電極組立体100が使用される場合には、グロー放電ガスは、管62のガス入口ポート106を通って、管62のボア孔の中へ流れる。電極102には、低電圧高電流源が接続され、電極102には、一時的に高電圧が適用される。高電圧は、グロー放電ガスを通って、電極102のチップから、管62の近位部分へ向かうような、コロナ放電をもたらす。そのコロナ放電は、低電圧源からの大電流がグラウンドに流れられる経路を提供する。大電流の流れは、グロー放電ガスに、グロー放電の形成をもたらす。従って、形成されたグロー放電は、管62の内部のグロー放電ガスの流れによって、導電部材58のチップ64からガスチャンバ36へと移動する。ガスチャンバ36の内部のマイクロ波放射は、効率的にグロー放電に結合でき、代表的には1秒未満の間に、プラズマは点火し、安定したマイクロ波プラズマをもたらし、電極102への電力の供給がスイッチオフされた後(代表的には2〜3秒以内)には、ガスチャンバ36に供給されるマイクロ波放射のみによって維持される。
ガスチャンバ36の内部にて開始(initiate)したプラズマは、ガスチャンバ36を通って流れるガスと共に、ガスチャンバ36からガス出口ポート44を通って運び出され、第2のガス導管46の内部に収容される。かくして、プラズマは、チップ64の下方に発生した炎に類似しており、ガス出口ポート44を通って出て、第2のガス導管46に入る。反応装置10の使用中には、第2のガス導管46の内部に発生する高温のために、水などの冷媒を、第2のガス導管46の外面に噴霧して、第2のガス導管46を冷却する。
ガスチャンバ36の内部に発生したマイクロ波プラズマは、多数の目的に使用される。例えば、プラズマは、ハロゲン化種、例えば、未使用のパーフルオロ及び/又はハイドロフルオロカーボンなどのチャンバクリーニングガス及びエッチングガス、チャンバを通り抜けるガス流れの内部に含有されるシラン又はアンモニアを破壊するのに使用される。ガスチャンバ36には、これらのガスと反応させるためのH2又はH2Oなどの反応物が、導電部材58のチップ64の下方に発生したプラズマの内部でガスと反応させるためにグロー放電ガスと共に供給される。破壊すべきガスが、化学的に適合しない(incompatible)場合には、ガスチャンバ36には上述の如く複数のガス入口が設けられ、適合しないガスは別個にガスチャンバ36に運ばれる。
図1は、マイクロ波プラズマ反応装置の外形を示した斜視図である。 図2は、図1の反応装置を示した側面図である。 図3は、図2の線A−Aに沿った反応装置の断面図である。 図4は、図2の線B−Bに沿った反応装置の断面図である。 図5は、図3において指示した領域Cについての拡大図である。
符号の説明
10 マイクロ波プラズマ反応装置 12 導電ハウジング
14 共鳴キャビティ 16 導波管
18 短絡回路 20 第1の本体部分
22 第2の本体部分 24 テーパ部分
26 端部プレート 27 チャンバ
28,30 プレート部材 29 同調器
36 ガスチャンバ 38 ガス入口
38 第1のボア孔 40 ガス入口ポート
42 第1のガス導管 44 ガス出口ポート
46 第2のガス導管 48 第2のボア孔
50 開口部 52 カバープレート
54 ボア孔 56 導電組立体
58 導電部材 60 ホルダー
62 管 64 チップ
65 開口部 66 第1の本体部分
68 スカート 70 フランジ状開口部
72 Oリング 74 クランプチェーン
76 環状リング 78 第2の本体部分
80 突起部 82 肩部
84 リム 86 バネ
88 第1の環状の突起部 90 ロックナット
92 第2の環状の突起部 94 通路
96 冷媒入口ポート 98 冷媒出口ポート
100 グロー放電電極組立体 102 グロー放電電極
104 コネクタ 106 ガス入口
108 ガス入口ポート 110 コネクタ
112 下面

Claims (32)

  1. マイクロ波プラズマ反応装置であって、
    反応チャンバと、
    反応チャンバの内部に配置され、ガス入口及びガス出口を有してなるマイクロ波共鳴キャビティと、
    マイクロ波放射を共鳴キャビティに導くための導波管であって、収束性のテーパ部分を有してなる導波管と、
    共鳴キャビティの内部にプラズマを開始及び持続させるために、共鳴キャビティの内部にマイクロ波放射から電磁定在波を形成するための手段と、
    共鳴キャビティの内部の電磁場の中に突出してなる導電部材であって、共鳴キャビティの中に突出してなる交換可能なチップを備えている導電部材と、
    前記ガス入口から前記ガス出口へ流れるガスと共に、共鳴キャビティから運ばれたプラズマを収容すべくガス出口から延びてなる導管手段と、を備えていることを特徴とする反応装置。
  2. 導波管におけるテーパ部分は、矩形である第1の端面をその一端に有し、矩形である第2の端面をその他端に共鳴キャビティに近接させて有し、マイクロ波放射の伝播方向に対して直交する高さを有し、導波管におけるテーパ部分の高さは、第1の端面部分から第2の端面部分へ向けて減少していることを特徴とする請求項1に記載の反応装置。
  3. 導波管におけるテーパ部分は、2つの収束する側面を備え、それぞれ端面間に延在し、第1の端面に対して鋭角に傾斜しており、第1の端面部分の高さは、第2の端面部分の高さの3倍であることを特徴とする請求項2に記載の反応装置。
  4. 第2の端部部分の高さは、共鳴キャビティの高さと、等しくなっていることを特徴とする請求項2又は3に記載の反応装置。
  5. 導波管におけるテーパ部分は、反応チャンバの外側に配置されていることを特徴とする請求項1乃至4のいずれか1項に記載の反応装置。
  6. 導波管におけるテーパ部分の長さは、マイクロ波放射の伝播方向において、マイクロ波放射の波長の半分の長さに等しいことを特徴とする請求項1乃至5のいずれか1項に記載の反応装置。
  7. 導波管は、第1の本体部分と第2の本体部分とを備え、これを通して伝播したマイクロ波放射は共鳴キャビティに入り、導波管におけるテーパ部分は、導波管における本体部分同士の間に配置されていることを特徴とする請求項1乃至6のいずれか1項に記載の反応装置。
  8. 導管手段を冷却するための手段を備えていることを特徴とする請求項1乃至7のいずれか1項に記載の反応装置。
  9. 冷却手段は、導管手段の外面に冷媒を噴霧するための手段を備えていることを特徴とする請求項8に記載の反応装置。
  10. 共鳴キャビティの内部に電磁定在波を形成する手段は、共鳴キャビティからマイクロ波放射を受けるために、反応チャンバにおける導波管とは反対側に取り付けられた第2のチャンバを備え、マイクロ波放射を反射して共鳴キャビティの中へ戻すために、このチャンバを通るマイクロ波の伝播の方向に対して垂直に延びてなる端面を有していることを特徴とする請求項1乃至9のいずれか1項に記載の反応装置。
  11. 第2のチャンバは、第2のチャンバの端面から間隔を隔てられた同調手段を収容しており、同調手段は、第2のチャンバを通るマイクロ波放射の伝播方向に対して垂直に延びてなる導電同調器を備えていることを特徴とする請求項10に記載の反応装置。
  12. 導電同調器は、第2のチャンバに対して可動になっていることを特徴とする請求項11に記載の反応装置。
  13. 同調器は、円筒形であることを特徴とする請求項11又は12に記載の反応装置。
  14. ガス出口は、チャンバを通るマイクロ波の伝播方向に対して直交するように延びていることを特徴とする請求項1乃至13のいずれか1項に記載の反応装置。
  15. 共鳴キャビティは、内部に配置された少なくともひとつの誘電性インサートを備え、これはガス入口からガスを受けてガス出口へガスを運ぶために、円筒形のガスチャンバを形成しており、ガス出口はガスチャンバと同軸的になっていることを特徴とする請求項1乃至14のいずれか1項に記載の反応装置。
  16. ガスチャンバは、円形又は楕円形の横断面を有していることを特徴とする請求項15に記載の反応装置。
  17. 前記少なくともひとつの誘電性インサートは、2枚の誘電性プレート部材を備え、それぞれが湾曲した側壁部分を有していることを特徴とする請求項15又は16に記載の反応装置。
  18. ガス入口は、前記少なくともひとつの誘電性インサートを通って延びていることを特徴とする請求項15乃至17のいずれか1項に記載の反応装置。
  19. ガス入口は、ガスチャンバの中に接線方向にガスを導入するように配置されていることを特徴とする請求項15乃至18のいずれか1項に記載の反応装置。
  20. 反応チャンバは、その側壁に配置された透明な点検窓を備え、前記少なくともひとつの誘電性インサートは、ガスチャンバと窓との間に延びるように形成されたボア孔を有していることを特徴とする請求項15乃至19のいずれか1項に記載の反応装置。
  21. チップは中空であり、そのまわりに延在する複数の開口部を備えていることを特徴とする請求項1に記載の反応装置。
  22. それぞれの開口部は、マイクロ波放射が通過できるサイズの直径を有していることを特徴とする請求項21に記載の反応装置。
  23. チップは、タングステン、銅、又はタングステン合金から形成されていることを特徴とする請求項1乃至22のいずれか1項に記載の反応装置。
  24. 導電部材は、チップに係合してなる導電本体部分を備えていることを特徴とする請求項1乃至23のいずれか1項に記載の反応装置。
  25. 導電本体部分のまわりに延在してなる環状の冷媒通路を備え、冷媒を環状通路に及び環状通路から運ぶ手段を備えていることを特徴とする請求項24に記載の反応装置。
  26. 導電本体部分は、共鳴キャビティを通って運ばれたガスと反応するための反応物を受け入れるためのガス入口ポートを備え、導電本体部分は、反応物を共鳴キャビティの中に運ぶための通路を備えていることを特徴とする請求項25に記載の反応装置。
  27. 導電部材は、反応チャンバを通るマイクロ波の伝播の方向に対して垂直に、電磁場の中に延入していることを特徴とする請求項1乃至26のいずれか1項に記載の反応装置。
  28. 導電部材は、ガス出口とは反対側に配置されていることを特徴とする請求項1乃至27のいずれか1項に記載の反応装置。
  29. 導電部材は、共鳴キャビティの内部にプラズマを点火するための手段を収容していることを特徴とする請求項1乃至28のいずれか1項に記載の反応装置。
  30. マイクロ波放射を発生するマイクロ波発生器を備え、発生器は、共鳴キャビティの内部にプラズマを開始するのに充分な出力にて、マイクロ波放射を発生させるように構成されていることを特徴とする請求項1乃至29のいずれか1項に記載の反応装置。
  31. 発生器は、所定の時間期間の後に、マイクロ波放射を発生させる出力を減少させるように構成されていることを特徴とする請求項30に記載の反応装置。
  32. 処理ツールからのガス流れ排気を処理するための装置であって、請求項1乃至31のいずれか1項に記載のマイクロ波プラズマ反応装置を備えてなる装置。
JP2008526529A 2005-08-15 2006-07-27 マイクロ波プラズマ反応装置 Active JP5600394B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GBGB0516695.4A GB0516695D0 (en) 2005-08-15 2005-08-15 Microwave plasma reactor
GB0516695.4 2005-08-15
PCT/GB2006/002794 WO2007020373A1 (en) 2005-08-15 2006-07-27 Microwave plasma reactor

Publications (3)

Publication Number Publication Date
JP2009504393A JP2009504393A (ja) 2009-02-05
JP2009504393A5 JP2009504393A5 (ja) 2009-09-10
JP5600394B2 true JP5600394B2 (ja) 2014-10-01

Family

ID=35098307

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2008526530A Active JP5027808B2 (ja) 2005-08-15 2006-07-27 ガス流処理方法
JP2008526529A Active JP5600394B2 (ja) 2005-08-15 2006-07-27 マイクロ波プラズマ反応装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2008526530A Active JP5027808B2 (ja) 2005-08-15 2006-07-27 ガス流処理方法

Country Status (10)

Country Link
US (2) US8776719B2 (ja)
EP (1) EP1915768B1 (ja)
JP (2) JP5027808B2 (ja)
KR (1) KR101286348B1 (ja)
CN (2) CN101248506B (ja)
AT (1) ATE509365T1 (ja)
GB (2) GB0516695D0 (ja)
SG (1) SG186661A1 (ja)
TW (2) TWI399454B (ja)
WO (1) WO2007020373A1 (ja)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4611409B2 (ja) * 2008-09-03 2011-01-12 晃俊 沖野 プラズマ温度制御装置
KR100965491B1 (ko) * 2009-11-02 2010-06-24 박영배 복합 플라스마 발생장치
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
GB2490355B (en) * 2011-04-28 2015-10-14 Gasplas As Method for processing a gas and a device for performing the method
US8653911B2 (en) 2011-07-22 2014-02-18 Triple Cores Korea Atmospheric plasma equipment and waveguide for the same
JP2013026118A (ja) * 2011-07-25 2013-02-04 Triplecores Korea 常圧プラズマ装置及びこのための導波管
CN102905456B (zh) * 2011-07-27 2015-05-20 韩国三重核心株式会社 大气等离子体设备和用于该设备的波导
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
KR101382003B1 (ko) * 2011-11-04 2014-04-21 (주)트리플코어스코리아 플라즈마 반응기 및 이를 이용한 가스스크러버
BR112015004831A2 (pt) 2012-09-05 2017-07-04 Powerdyne Inc método para produzir energia elétrica
EP2900353A4 (en) 2012-09-05 2016-05-18 Powerdyne Inc METHOD FOR SEQUESTRATING HEAVY METAL PARTICLES BY MEANS OF H2O, CO2, O2 AND PARTICLE SOURCE
BR112015004828A2 (pt) 2012-09-05 2017-07-04 Powerdyne Inc método para produzir combustível
US9561486B2 (en) 2012-09-05 2017-02-07 Powerdyne, Inc. System for generating fuel materials using Fischer-Tropsch catalysts and plasma sources
WO2014039719A1 (en) 2012-09-05 2014-03-13 Powerdyne, Inc. Fuel generation using high-voltage electric fields methods
BR112015004832A2 (pt) 2012-09-05 2017-07-04 Powerdyne Inc método para produzir combustível
KR20150052257A (ko) 2012-09-05 2015-05-13 파워다인, 인코포레이티드 플라즈마 소스들을 사용하여 수소가스를 발생시키기 위한 방법
CN102933016A (zh) * 2012-11-28 2013-02-13 吉林大学 车载燃料的等离子体微波功率合成系统
CN105209156B (zh) 2013-03-14 2017-05-10 Mks仪器股份有限公司 环形等离子体减少设备和方法
KR101427720B1 (ko) 2013-03-27 2014-08-13 (주)트리플코어스코리아 단차부 및 블록부를 이용한 플라즈마 도파관
GB2516267B (en) * 2013-07-17 2016-08-17 Edwards Ltd Head assembly
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
CN103968882B (zh) * 2014-05-22 2016-05-18 哈尔滨工业大学 微波与弱磁等离子体相互作用的测试装置
KR102235612B1 (ko) 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법
US10153133B2 (en) 2015-03-23 2018-12-11 Applied Materials, Inc. Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
US10340124B2 (en) 2015-10-29 2019-07-02 Applied Materials, Inc. Generalized cylindrical cavity system for microwave rotation and impedance shifting by irises in a power-supplying waveguide
EP3309815B1 (de) * 2016-10-12 2019-03-20 Meyer Burger (Germany) AG Plasmabehandlungsvorrichtung mit zwei, miteinander gekoppelten mikrowellenplasmaquellen sowie verfahren zum betreiben einer solchen plasmabehandlungsvorrichtung
US10712005B2 (en) 2017-07-14 2020-07-14 Goodrich Corporation Ceramic matrix composite manufacturing
US10480065B2 (en) * 2017-09-19 2019-11-19 Goodrich Corporation Gas distribution for chemical vapor deposition/infiltration
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
US11469077B2 (en) * 2018-04-24 2022-10-11 FD3M, Inc. Microwave plasma chemical vapor deposition device and application thereof
US11633710B2 (en) 2018-08-23 2023-04-25 Transform Materials Llc Systems and methods for processing gases
EP3841079A4 (en) 2018-08-23 2022-05-25 Transform Materials LLC SYSTEMS AND METHODS FOR GAS TREATMENT
CN114560443B (zh) * 2022-03-02 2023-07-07 瓮福(集团)有限责任公司 一种同时制备氟化氢及晶体硅产品的微波等离子体装置
CN115665914B (zh) * 2022-12-22 2023-03-10 河北科技大学 多源微波加热装置
CN117373964B (zh) * 2023-12-05 2024-03-12 天津吉兆源科技有限公司 一种用于微波远程等离子体源自动点火装置

Family Cites Families (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002002A (en) * 1931-11-20 1935-05-21 Deere & Co Tractor
JPS59103340A (ja) 1983-09-21 1984-06-14 Hitachi Ltd プラズマ処理装置
JPS62155934A (ja) * 1985-12-28 1987-07-10 Canon Inc 気相励起装置
US4866346A (en) * 1987-06-22 1989-09-12 Applied Science & Technology, Inc. Microwave plasma generator
US4970435A (en) 1987-12-09 1990-11-13 Tel Sagami Limited Plasma processing apparatus
US4893584A (en) * 1988-03-29 1990-01-16 Energy Conversion Devices, Inc. Large area microwave plasma apparatus
JPH03193880A (ja) * 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk 高圧力下でのマイクロ波プラズマcvdによる高速成膜方法及びその装置
US5144199A (en) 1990-01-11 1992-09-01 Mitsubishi Denki Kabushiki Kaisha Microwave discharge light source device
DE4132558C1 (ja) * 1991-09-30 1992-12-03 Secon Halbleiterproduktionsgeraete Ges.M.B.H., Wien, At
US5349154A (en) * 1991-10-16 1994-09-20 Rockwell International Corporation Diamond growth by microwave generated plasma flame
JPH0653170A (ja) 1992-03-18 1994-02-25 Nec Corp Ecrプラズマエッチング装置
JPH0673567A (ja) 1992-08-28 1994-03-15 Hitachi Ltd マイクロ波プラズマ処理装置
JP3328844B2 (ja) 1992-09-24 2002-09-30 株式会社日立製作所 プラズマプロセス装置
FR2695944B1 (fr) * 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
DE4235914A1 (de) 1992-10-23 1994-04-28 Juergen Prof Dr Engemann Vorrichtung zur Erzeugung von Mikrowellenplasmen
GB9224745D0 (en) * 1992-11-26 1993-01-13 Atomic Energy Authority Uk Microwave plasma generator
JP3337266B2 (ja) 1993-04-15 2002-10-21 三菱重工業株式会社 電子サイクロトロン共鳴プラズマの科学蒸着装置
US5453125A (en) * 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
GB9414561D0 (en) * 1994-07-19 1994-09-07 Ea Tech Ltd Method of and apparatus for microwave-plasma production
US5793013A (en) * 1995-06-07 1998-08-11 Physical Sciences, Inc. Microwave-driven plasma spraying apparatus and method for spraying
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5825485A (en) * 1995-11-03 1998-10-20 Cohn; Daniel R. Compact trace element sensor which utilizes microwave generated plasma and which is portable by an individual
US5902404A (en) * 1997-03-04 1999-05-11 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
FR2766321B1 (fr) * 1997-07-16 1999-09-03 Air Liquide Dispositif d'excitation d'un gaz par plasma d'onde de surface
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2000133494A (ja) * 1998-10-23 2000-05-12 Mitsubishi Heavy Ind Ltd マイクロ波プラズマ発生装置及び方法
JP2000296326A (ja) * 1999-04-12 2000-10-24 Mitsubishi Heavy Ind Ltd 有機ハロゲン化合物分解装置の運転制御方法
US6605750B1 (en) * 1999-04-12 2003-08-12 Mitsubishi Heavy Industries, Ltd. Method for decomposition-treating organic halogen compound and decomposing device
US20020007912A1 (en) * 1999-04-12 2002-01-24 Mohammad Kamarehi Coolant for plasma generator
EP1093847A1 (en) 1999-04-12 2001-04-25 Mitsubishi Heavy Industries, Ltd. Organic halogen compound decomposing device and operation control method therefor, and organic halogen compound decomposing method
US6263830B1 (en) * 1999-04-12 2001-07-24 Matrix Integrated Systems, Inc. Microwave choke for remote plasma generator
DE19943953A1 (de) * 1999-09-14 2001-04-12 Bosch Gmbh Robert Vorrichtung und Verfahren zur Erzeugung eines lokalen Plasmas durch Mikrostrukturelektrodenentladungen mit Mikrowellen
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP4252702B2 (ja) 2000-02-14 2009-04-08 株式会社荏原製作所 反応副生成物の配管内付着防止装置及び付着防止方法
JP4377510B2 (ja) 2000-03-02 2009-12-02 東京エレクトロン株式会社 プラズマ処理装置
US6673323B1 (en) * 2000-03-24 2004-01-06 Applied Materials, Inc. Treatment of hazardous gases in effluent
US6696662B2 (en) * 2000-05-25 2004-02-24 Advanced Energy Industries, Inc. Methods and apparatus for plasma processing
WO2001091896A1 (fr) * 2000-05-29 2001-12-06 Three Tec Co., Ltd. Appareil de traitement d'objets et dispositif a plasma dote de cet appareil
EP1448030A4 (en) * 2002-08-30 2006-11-22 Sekisui Chemical Co Ltd PLASMA PROCESSING SYSTEM
JP2004216231A (ja) * 2003-01-10 2004-08-05 Toshiba Corp 高周波プラズマによる化合物分解方法および化合物分解装置
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
GB0309932D0 (en) 2003-04-30 2003-06-04 Boc Group Plc Apparatus and method for forming a plasma

Also Published As

Publication number Publication date
JP2009504394A (ja) 2009-02-05
CN101243534A (zh) 2008-08-13
ATE509365T1 (de) 2011-05-15
CN101248506B (zh) 2011-09-07
JP5027808B2 (ja) 2012-09-19
TWI405239B (zh) 2013-08-11
US8776719B2 (en) 2014-07-15
EP1915768A1 (en) 2008-04-30
US20110197759A1 (en) 2011-08-18
GB0516695D0 (en) 2005-09-21
US8518162B2 (en) 2013-08-27
KR20080033408A (ko) 2008-04-16
TWI399454B (zh) 2013-06-21
TW200712249A (en) 2007-04-01
GB0521961D0 (en) 2005-12-07
US20100006227A1 (en) 2010-01-14
TW200727325A (en) 2007-07-16
CN101248506A (zh) 2008-08-20
CN101243534B (zh) 2010-05-26
EP1915768B1 (en) 2014-09-10
JP2009504393A (ja) 2009-02-05
WO2007020373A1 (en) 2007-02-22
SG186661A1 (en) 2013-01-30
KR101286348B1 (ko) 2013-07-23

Similar Documents

Publication Publication Date Title
JP5600394B2 (ja) マイクロ波プラズマ反応装置
TWI417931B (zh) 電漿清除設備
US6620394B2 (en) Emission control for perfluorocompound gases by microwave plasma torch
JP2000133494A (ja) マイクロ波プラズマ発生装置及び方法
JP5039381B2 (ja) プラズマを形成するための装置および方法
JP2007522935A5 (ja)
US6734385B1 (en) Microwave plasma burner
KR100954486B1 (ko) 전자파 플라즈마토치에서 발생한 활성입자의 화학반응 장치
KR100695036B1 (ko) 고온 대용량 플라즈마 가스 스크러버
JP2007258706A (ja) 励起された、および/またはイオン化された粒子をプラズマ内で発生するための装置および方法
US8829770B2 (en) Electrode cooling system in a multi-electrode microwave plasma excitation source
JP4418227B2 (ja) 大気圧プラズマ源
EP3934389A1 (en) Plasma source apparatus
KR101229131B1 (ko) 가스 스트림 처리 방법
JP2007273096A (ja) プラズマ発生装置およびそれを用いるワーク処理装置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090727

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090727

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120330

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120406

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120709

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130422

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131002

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140818

R150 Certificate of patent or registration of utility model

Ref document number: 5600394

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250