KR101286348B1 - 마이크로파 플라즈마 반응기 - Google Patents

마이크로파 플라즈마 반응기 Download PDF

Info

Publication number
KR101286348B1
KR101286348B1 KR1020087003633A KR20087003633A KR101286348B1 KR 101286348 B1 KR101286348 B1 KR 101286348B1 KR 1020087003633 A KR1020087003633 A KR 1020087003633A KR 20087003633 A KR20087003633 A KR 20087003633A KR 101286348 B1 KR101286348 B1 KR 101286348B1
Authority
KR
South Korea
Prior art keywords
gas
plasma reactor
resonant cavity
chamber
microwave plasma
Prior art date
Application number
KR1020087003633A
Other languages
English (en)
Other versions
KR20080033408A (ko
Inventor
마리레나 라도이우
제임스 로버트 스미쓰
앤드류 제임스 시레이
Original Assignee
에드워즈 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에드워즈 리미티드 filed Critical 에드워즈 리미티드
Publication of KR20080033408A publication Critical patent/KR20080033408A/ko
Application granted granted Critical
Publication of KR101286348B1 publication Critical patent/KR101286348B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32816Pressure
    • H01J37/32834Exhausting
    • H01J37/32844Treating effluent gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • H01J37/32211Means for coupling power to the plasma
    • H01J37/32229Waveguides
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02CCAPTURE, STORAGE, SEQUESTRATION OR DISPOSAL OF GREENHOUSE GASES [GHG]
    • Y02C20/00Capture or disposal of greenhouse gases
    • Y02C20/30Capture or disposal of greenhouse gases of perfluorocarbons [PFC], hydrofluorocarbons [HFC] or sulfur hexafluoride [SF6]

Abstract

마이크로파 플라즈마 반응기(10)는 반응기 챔버와, 반응기 챔버 내에 배치된 마이크로파 공진 캐비티(14)와, 공진 캐비티로 마이크로파 방사선을 운송하며 수렴 테이퍼부를 갖는 도파관(16)과, 가스 입구 및 가스 출구를 갖는 공진 캐비티 내에서 플라즈마를 개시 및 지속시키기 위해 마이크로파 방사선으로부터 공진 캐비티 내에 전자기 정재파를 형성하기 위한 수단과, 공진 캐비티로부터 운송되는 플라즈마를 가스 입구로부터 가스 출구로 유동하는 가스로 수용하기 위해 가스 출구로부터 연장되는 도관 수단을 포함한다.
Figure R1020087003633
마이크로파 플라즈마 반응기, 반응기 챔버, 공진 캐비티, 도파관, 가스 입구, 가스 출구, 도관 수단

Description

마이크로파 플라즈마 반응기{MICROWAVE PLASMA REACTOR}
본 발명은 마이크로파 플라즈마 반응기에 관한 것이다. 이 장치는 플라즈마 경감 시스템(plasma abatement system)에 특정 용도가 발견되었지만, 본 발명은 이러한 시스템에 한정되는 것은 아니다.
CF4, C2F6, NF3 및 SF6은 반도체 제조 산업, 예를 들면 유전층 에칭 및 챔버 세척에 통상적으로 사용된다. 제조 또는 세척 프로세스에 이어서, 프로세스 도구로부터 펌핑된 유출 가스 스트림(effluent gas stream) 내에는 일반적으로 잔류 PFC 함유물이 존재한다. PFC는 유출 스트림으로부터 제거되기 곤란하고, 비교적 높은 온실 활동을 갖는 것으로 알려져 있기 때문에 환경으로의 방출이 바람직하지 않다.
플라즈마 경감은 적은 PFC를 덜 손상되는 종(species)으로 분해하기 위한 효율적인 방법인 것으로 판명되었다. 플라즈마 경감 프로세스에서, 파괴될 종을 함유하는 유출 가스는 고밀도 플라즈마 내로 유동되고, 플라즈마 내의 강도높은 조건 하에서 PFC는 여기 전자(energetic electron)와 충돌하게 되어 반응 종으로 해리되고, 이 반응 종은 산소 또는 수소와 결합하여 예를 들면 CO, CO2 및 HF와 같은 비교적 안정한 저분자량 부산물을 생성하며, 이 부산물은 이후 부가의 처리 단계에서 제거될 수 있다.
이미 공지되어 있는 플라즈마 경감의 일 형태에서, 플라즈마는 마이크로파 플라즈마이다. 마이크로파 플라즈마 반응기의 예는 영국 특허 GB 2,273,027호에 설명되어 있다. 이 장치에서, 마이크로파 플라즈마는 밀접하게 대향된 관계로 챔버 내에 배치된 두 개의 전극 사이에 발생되고, 전극 중 하나는 여기된 가스 매체가 챔버로부터 이를 통해 배기되는 축방향 구멍을 갖는다.
이러한 반응기에서는, 마이크로파 방사선이 도파관에 의해 마이크로파 발생기로부터 챔버 내로 운송된다. 도파관에 의해 마이크로파 발생기 내로 재반사되는 마이크로파 방사선의 양을 감소시키기 위해, 도파관은 실질적으로 그 내부로 직교하여 연장되는 하나 이상의 조정 나사를 구비한다. 각각의 나사가 도파관 내로 연장되는 양을 조절함으로써, 도파관에 의해 마이크로파 발생기 내로 재반사되는 마이크로파 방사선의 양이 최소화될 수 있다. 그러나, 조정 나사의 존재는 플라즈마 내로의 에너지 흡수를 감소시키는 작용을 한다.
본 발명은 반응기 챔버와, 반응기 챔버 내에 배치된 마이크로파 공진 캐비티와, 공진 캐비티로 마이크로파 방사선을 운송하며 수렴 테이퍼부를 갖는 도파관과, 가스 입구 및 가스 출구를 갖는 공진 캐비티 내에서 플라즈마를 지속시키기 위해 마이크로파 방사선으로부터 공진 캐비티 내에 전자기 정재파(standing wave)를 형성하기 위한 수단과, 공진 캐비티로부터 운송되는 플라즈마를 가스 입구로부터 가스 출구로 유동하는 가스로 수용하기 위해 가스 출구로부터 연장되는 도관 수단을 포함하는 마이크로파 플라즈마 반응기를 제공한다.
도파관의 테이퍼부의 존재는 공진 캐비티 내에 발생된 플라즈마 내로의 에너지 흡수를 감소시키지 않고 도파관 내의 마이크로파 방사선의 반사를 억제하도록 도파관을 조정하는 역할을 한다.
도파관의 테이퍼부는 챔버에 인접하여 배치되는 것이 바람직하며, 이를 통한 마이크로파 방사선의 전파 방향에서의 길이가 마이크로파 방사선의 파장의 대략 1/2에 해당하는 것이 바람직하다.
도파관의 테이퍼부는, 바람직하게는 마이크로파 방사선의 TE01 전기장에 실질적으로 평행한 제 1 높이를 갖고 마이크로파 방사선을 수용하기 위한 제 1의 바람직하게는 실질적으로 직사각형 단부면과, 제 1 높이보다 작은 제 2 높이를 갖고 제 1 단부면에 대향하는 제 2의 바람직하게는 실질적으로 직사각형 단부면을 갖는다. 제 2 단부면의 높이는 바람직하게는 공진 캐비티의 높이와 실질적으로 동일하다. 제 1 높이로부터 제 2 높이로 도파관의 높이를 감소시킴으로써, 전기장의 강도가 증가된다. 도파관의 테이퍼부는 제 1 단부면 사이로 각각 연장되고 제 1 단부면에 대해 예각으로 경사지는 두 개의 수렴 측면을 포함하는 것이 바람직하다.
전기장에 직교하는 대략 동일한 폭을 갖는 직사각형 도파관에서, 전기장의 강도의 증가는 도파관의 높이의 감소에 정비례한다. 전기장의 강도의 증가는 플라즈마가 마이크로파 방사선 단독으로부터 공진 캐비티 내에 발생될 수 있도록 충분할 수 있다.
도관 수단 내의 플라즈마의 수용에 기인하여, 도관 수단을 냉각하기 위한 수단이 바람직하게 제공된다. 예를 들면, 물 또는 다른 수용액과 같은 냉매를 도관 수단의 외부면 상에 분무하기 위한 수단이 도관 수단을 냉각하기 위해 제공될 수 있다.
공진 캐비티 내에 전자기 정재파를 형성하기 위한 수단은, 공진 캐비티로부터 마이크로파 방사선을 수용하기 위해 도파관에 대향하여 반응기 챔버 상에 장착되고 공진 캐비티 내로 마이크로파 방사선을 재반사하기 위해 챔버를 통한 마이크로파의 전파 방향에 실질적으로 수직하게 연장되는 단부면을 갖는 제 2 챔버를 포함하는 것이 바람직하다. 공진 캐비티 내에 지속되는 플라즈마 내로의 에너지 흡수를 최적화하기 위해, 제 2 챔버는 제 2 챔버의 단부면으로부터 이격된 조정 수단을 수용하는 것이 바람직하다. 조정 수단은, 제 2 챔버를 통한 마이크로파의 전파 방향에 수직하게 연장되고 바람직하게는 제 2 챔버를 조정하기 위해 제 2 챔버에 대해 이동 가능한 전기 도전성의 바람직하게는 원통형 튜너를 포함할 수 있다.
공진 캐비티는 바람직하게는 가스 입구로부터 가스를 수용하고 가스를 가스 출구로 운송하기 위한 실질적으로 원통형 가스 챔버를 규정하는 적어도 하나의 유전체 인서트(dielectric insert)가 그 내부에 배치되고, 가스 출구는 가스 챔버와 실질적으로 동축이다. 가스 챔버는 원형 또는 타원형 단면을 갖는다. 적어도 하나의 유전체 인서트는 만곡된 측벽부를 각각 갖는 두 개의 유전체 플레이트 부재를 포함하는 것이 편리할 수 있다.
공진 캐비티 내의 전기장을 증가시키기 위해, 반응기는 바람직하게는 전기장의 강도가 최고에 있는 위치에서 공진 캐비티 내의 전자기장 내로 돌출하고 바람직하게는 반응기 챔버를 통한 마이크로파의 전파 방향에 실질적으로 수직하게 전자기장 내로 연장되는 전기 도전성 부재를 포함하는 것이 바람직하다. 발명자들은 공진 캐비티 내로 돌출하는 단일의 전기 도전성 부재가 존재하면 예를 들어 2 내지 6 kW의 비교적 낮은 출력을 갖는 마이크로파 방사선에 의해 플라즈마가 점화되어 지속될 수 있는 정도로 전기장이 강화될 수 있다는 것을 발견하였다.
반응기의 사용 중에 전기 도전성 부재의 잠재적인 부식에 기인하여, 전기 도전성 부재는 공진 캐비티 내로 돌출하는 교체 가능한 팁을 포함하는 것이 바람직하다. 팁은 텅스텐, 구리 또는 텅스텐 합금과 같은 내부식성 및 내열성 재료로 형성되는 것이 바람직하다. 팁의 냉각 및 그 수명의 연장을 제공하기 위해, 반응기의 사용 중에, 팁은 선택적으로 중공형일 수 있고 그 부근으로 연장되는 복수의 구멍을 포함할 수 있다. 공진 캐비티의 가스 입구와 가스 출구 사이로 유동하는 가스는 팁을 냉각하도록 구멍을 통과할 수 있다. 각각의 구멍은 바람직하게는 마이크로파 방사선이 이를 통과할 수 있도록 치수 설정된 직경을 갖는다.
전기 도전성 부재는 팁에 결합하는 전기 도전성 본체부를 포함하는 것이 바람직하다. 환형 냉매 채널이 제공될 수 있고, 환형 채널은 전기 도전성 본체부 주위로 연장되고, 환형 채널에 대해 냉매를 송입하고 그로부터 송출하기 위한 수단이 제공된다.
전기 도전성 본체부는 공진 캐비티를 통해 운송되는 가스와 반응하기 위한 반응제를 수용하기 위한 가스 입구 포트를 포함하는 것이 바람직하고, 전기 도전성 본체부는 냉매를 공진 캐비티 내로 운송하기 위한 통로를 포함하는 것이 바람직하다. 반응기가 플라즈마 경감 시스템의 부분으로서 제공될 때, 챔버를 통해 운송되는 경감될 가스의 조성에 따라, 경감될 가스와 반응하기 위한 반응제를 공진 캐비티 내에 도입하는 것이 유리할 수 있다. 예를 들면, 경감될 가스가 예를 들면 CF4, C2F6, CHF3, C3F8, C4F8, NF3 및 SF6 중 하나와 같은 퍼플루오로화 또는 하이드로플루오로카본 화합물일 때, 경감될 가스와 반응하기 위한 H 또는 OH 라디칼을 플라즈마 내에 형성하기 위해 H2 또는 H2O와 같은 반응제가 전기 도전성 부재의 보어를 통해 공진 캐비티 내로 운송될 수 있다.
전기 도전성 부재는 바람직하게는 가스 출구에 대향하여 배치된다. 가스 입구는 바람직하게는 상기 적어도 하나의 유전체 인서트를 통해 연장되고, 바람직하게는 실질적으로 접선 방향으로 가스 챔버 내로 가스를 도입하도록 배열된다. 이는 챔버 내에서 가스가 소용돌이치고 플라즈마를 최적화하도록 팁의 하부에서의 가스의 균일한 압력 강하를 성취하는 것을 촉진한다. 반응기 챔버는 바람직하게는 그 측벽에 배치된 투명한 감시 창(inspection window)을 포함하고, 상기 적어도 하나의 유전체 인서트의 내부에는 가스 챔버와 창 사이로 연장되는 보어가 형성된다.
전기장 내의 전기 도전성 부재의 존재는 도파관과 공진 캐비티 사이의 임피던스 정합(matching)을 방해할 수 있고, 이는 마이크로파 발생기를 향한 도파관을 통한 마이크로파 방사선의 재반사를 유도할 수 있고, 따라서 플라즈마 내로의 에너지 흡수를 감소시킬 수 있다. 반사된 에너지가 너무 높으면, 마이크로파 발생기는 손상될 수 있다. 따라서, 튜너는 전기 도전성 부재의 평면 내의 임피던스가 마이크로파 방사선의 주파수에서 도파관의 임피던스에 정합하도록 전기 도전성 부재와 동축으로 제공될 수 있다.
전기 도전성 부재는 바람직하게는 챔버 상에 장착된 홀더 내에 유지된다. 챔버는 바람직하게는 공진 캐비티에 인접하여 배치된 원통형 보어를 포함하고, 홀더는 보어 내로 연장한다. 홀더 및 챔버의 모두는 바람직하게는 전기 도전성 재료로 형성되고, 홀더는 챔버와 전기 접촉을 형성한다. 홀더는 바람직하게는 챔버와 홀더 사이에 기밀 밀봉을 형성하도록 챔버 상에 장착된 외향 연장 스커트를 포함한다. 스커트는 챔버와 전기 접촉을 형성할 수 있다. 그렇지 않으면, 스커트로부터 하향으로 현수되는 환형 링이 챔버와 전기 접촉을 형성할 수 있다. 스커트의 기부와 전기 도전성 부재의 단부 사이의 거리는 공진 캐비티 내의 전자기장을 최대화하도록 선택되는 것이 바람직하다. 홀더는 바람직하게는 그 내부에 전기 도전성 부재의 팁을 보유하기 위한 원추형 돌출부를 갖는 세장형 본체부를 포함한다.
전기 도전성 부재는 공진 캐비티 내의 플라즈마를 점화하기 위한 수단을 수용할 수 있다. 플라즈마를 점화하기 위한 수단은 바람직하게는 전기 도전성 부재 내에 배치된 세장형 전극을 포함하는 글로우 방전을 발생시키기 위한 수단을 포함하는 것이 바람직하다. 글로우 방전을 형성하기 위해 글로우-방전 가스가 전극에 운송되는 것이 바람직하고, 전기 도전성 부재는 그 내부의 플라즈마의 점화를 위해 글로우-방전 가스가 공진 캐비티로 글로우 방전을 운송하게 하기 위한 통로를 제공한다. 글로우-방전 가스는 질소 또는 희가스(noble gas) 또는 임의의 다른 실질적으로 불활성 및 이온화 가능 가스일 수 있다. 공진 캐비티를 통해 운송된 가스와 반응하기 위한 반응제(reactant)가 요구될 때, 반응제는 글로우-방전 가스 내에 수용될 수 있다.
글로우 방전을 개시하기 위해 충분히 높은 전압을 제공하고 바람직하게는 적어도 0.1초 동안 글로우 방전을 지속시키기 위한 회로가 제공될 수 있다. 글로우 방전의 발생은 플라즈마가 공진 캐비티 내에 점화된 후에 중단될 수 있고, 따라서 글로우 방전은 최대 10초 동안 또는 예를 들면 최대 5초 동안, 예를 들면 1 내지 5초 동안 발생될 수 있다.
반응기는 마이크로파 방사선을 발생시키기 위한 마이크로파 발생기를 포함하는 것이 바람직하다. 플라즈마를 점화하기 위한 수단을 제공하는 것에 대한 대안으로서, 발생기는 공진 캐비티 내에서 플라즈마를 점화하는데 충분한 예를 들면 2 내지 6 kW의 출력으로 마이크로파 방사선을 발생시키도록 구성될 수 있다. 발생기는 마이크로파 방사선이 발생되는 출력을 예를 들면 1 내지 5초와 같은 소정 시간 후에 예를 들면 2 내지 3 kW로 감소시키도록 구성될 수 있다.
본 발명은 프로세스 도구로부터 가스 스트림 배기물을 처리하기 위한 장치를 또한 제공하고, 이 장치는 전술된 바와 같은 마이크로파 플라즈마 반응기를 포함한다.
본 발명의 바람직한 특징이 이제 첨부 도면을 참조하여 예시적으로만 설명될 것이다.
도 1은 마이크로파 플라즈마 반응기의 외부 사시도.
도 2는 도 1의 반응기의 측면도.
도 3은 도 2의 라인 A-A를 따른 반응기를 통한 단면도.
도 4는 도 2의 라인 B-B를 따른 반응기를 통한 단면도.
도 5는 도 3의 C 구역의 확대도.
도 1 내지 도 4를 참조하면, 마이크로파 플라즈마 반응기(10)는 전기 도전성 하우징(12)을 포함한다. 하우징(12)은 바람직하게는 스테인레스강과 같은 전기 도전성 재료로 형성되거나 또는 내부 전기 도전성 표면을 가질 수 있다. 도시되어 있는 바와 같이, 하우징(12)은 직사각형 단면을 가질 수 있다. 슬롯(14)은 예를 들면 기계 가공에 의해 하우징(12) 내에 형성되고, 반응기(10)의 공진 캐비티를 제공하도록 하우징(12)의 폭을 가로질러 연장된다. 공진 캐비티(14)의 일 단부는 마이크로파 발생기(도시 생략)로부터 공진 캐비티(14) 내로 마이크로파 방사선을 운 송하기 위한 도파관(16)에 접속된다. 공진 캐비티(14)의 다른 단부는 단락 회로(18)에 접속된다.
도파관(16)은 이를 통해 공진 캐비티(14)에 운송되는 마이크로파 방사선의 TE01 전기장에 실질적으로 평행한 높이(h1)와 마이크로파 방사선의 전기장에 직교하는 폭(w)을 갖는 제 1의 실질적으로 직사각형 본체부(20)를 포함한다. 도파관(16)은 또한 공진 캐비티(14)에 대면하는 제 2의 실질적으로 직사각형 본체부(22)를 포함하고, 제 2 본체부(22)는 높이(h2)와 폭(w)을 가지며, 여기서 h2<h1이다. 도시되어 있는 예에서, 제 2 본체부(22)는 제 1 본체부(20)의 높이의 대략 1/3의 높이를 갖는다.
도파관(16)은 제 1 및 제 2 본체부(20, 22) 사이에 배치되는 폭(w)의 테이퍼진 수렴부(24)를 더 포함한다. 테이퍼부(24)는 높이(h1)와 폭(w)의 제 1 본체부(20)에 인접한 제 1 단부면(25a)과, 높이(h2)와 폭(w)의 제 2 본체부(22)에 인접한 제 2 단부면(25b)을 포함한다. 테이퍼부(24)는, 단부면(25a, 25b) 사이로 연장되고 제 1 및 제 2 본체부(20, 22)가 동축이 되도록 제 2 단부면(25b)에 대해 예각으로 경사진 제 1 및 제 2 측면(25c, 25d)을 더 포함한다. 테이퍼부(24)는 도파관(16)을 통한 마이크로파 방사선의 전파 방향으로 길이(l)를 갖고, 여기서 l은 마이크로파 방사선의 파장의 대략 1/2이다.
단락 회로(18)는 공진 캐비티(14)의 대향 측면에 도파관(16)의 연장부를 제공한다. 단락 회로(18)는, 입사 마이크로파 방사선이 단부 플레이트(26)에 의해 반사되어 공진 캐비티(14) 내에 전자기 정재파를 형성하도록 도파관(16)의 제 2 본체부(22)의 단부로부터 이격되어 있는 단부 플레이트(26)에 의해 부분적으로 형성된 챔버(27)를 포함한다. 도파관(16)의 제 2 본체부(22)의 단부에 대한 단부 플레이트(26)의 위치는 조절 가능할 수 있다.
단락 회로(18)는 단락 회로(18)를 조정하기 위해 단부 플레이트(26)로부터 이격된 튜너(29)를 포함한다. 도시되어 있는 예에서, 튜너는 나사의 본체가 챔버(27)를 통한 마이크로파 방사선의 전파 방향에 실질적으로 수직하게 챔버(27) 내로 연장하도록 단락 회로(18)의 상부면 내로 나사 결합되는 나사(29)를 포함한다. 나사(29)의 헤드(29a)를 회전시킴으로써, 나사(29)의 단부가 챔버(27) 내에서 상승되거나 또는 하강되어 단락 회로(18)를 조정할 수 있다.
공진 캐비티(14)는 공진 캐비티(14)를 통해 운송되는 마이크로파 방사선에 대해 실질적으로 투과성이 있으면서 적절한 내식성을 유지하기 위한 PTFE 또는 다른 적합한 재료로 바람직하게 형성되는 두 개의 유전체 플레이트 부재(28, 30)를 수용한다. 각각의 플레이트 부재(28, 30)는 공진 캐비티(14)를 통한 마이크로파 방사선의 전파 방향에 직교하여 연장되는 편평한 측벽부(32)와, 공진 캐비티(14) 내에 실질적으로 원통형 가스 챔버(36)를 부분적으로 형성하는 만곡된 측벽부(34)를 갖는다. 가스 챔버(36)는 원형 또는 타원형 단면을 가질 수 있다.
각각의 플레이트 부재(30, 32)는 공진 캐비티(14)의 가스 챔버(36) 내로의 가스 입구를 제공하는 그 내부에 형성된 제 1 보어(38)를 갖는다. 도시되어 있는 반응기(10)에서, 보어(38) 중 하나는 하우징(12) 상에 장착된 제 1 가스 도관(42) 으로부터 가스를 수용하기 위해 하우징(12)의 측벽에 형성된 가스 입구 포트(40)와 정렬된다. 제 2 가스 포트가 제 2 가스 도관으로부터 가스를 수용하기 위해 하우징(12)의 대향 측벽에 선택적으로 형성될 수 있고, 이 가스는 제 1 가스 도관(42)으로부터 가스 챔버(36)에 진입하는 가스와 동일하거나 또는 상이할 수 있다. 각각의 가스 입구는 바람직하게는 가스가 제 1 가스 도관(40)으로부터 실질적으로 접선 방향으로 가스 챔버(36)에 진입하여 가스가 가스 챔버(36)의 중심을 향해 가스 챔버(36) 내에서 내향으로 소용돌이치도록 구성된다. 가스 챔버(36)로부터 제 2 가스 도관(46)으로 가스를 운송하기 위해 하우징(12)의 기부에 가스 출구 포트(44)가 형성된다. 가스 출구 포트(44)는 가스 챔버(36)의 횡단 방향으로 연장되고, 바람직하게는 가스 챔버(36)와 동축이다.
각각의 플레이트 부재(30, 32)는 또한 내부에 형성된 더 소형의 제 2 보어(48)를 갖는다. 보어(48) 중 하나는, 하우징의 측벽에 형성되고 투명한 커버 플레이트(52)에 의해 폐쇄되어 반응기(10)의 사용 중에 사용자가 공진 캐비티(14)의 가스 챔버(36) 내에 발생된 플라즈마를 관찰할 수 있게 하기 위한 감시 창을 제공하는 구멍(50)과 정렬된다.
원통형 보어(54) 또한 하우징(12) 내에 형성되고, 보어(54)는 공진 캐비티(14)의 횡단 방향으로 연장되고 공진 캐비티와 함께 반응기(10)의 반응기 챔버를 형성한다. 보어(54)는 가스 챔버(36) 및 가스 출구 포트(44)와 실질적으로 동축인 것이 바람직하다. 보어(54)는 전기 도전성 조립체(56)를 수용한다. 조립체(56)는 전기 도전성 부재(58) 및 상기 전기 도전성 부재(58)를 유지하기 위한 홀더(60)를 포함한다.
전기 도전성 부재(58)는 세장형 튜브(62)를 포함하고, 이 세장형 튜브는 구리 또는 다른 전기 도전성 재료로 형성되고, 도 5에 더 상세히 도시되어 있는 바와 같이 관형 팁(64)에 결합한다. 팁(64)은 바람직하게는 텅스텐 또는 텅스텐 합금, 예를 들면 텅스텐과 란탄의 합금과 같은 내식성 및 내열성 재료로 형성된다. 가스 입구(38)와 가스 출구(44) 사이에 유동하는 가스가 팁(64)을 반경방향으로 통과하여 팁(64)의 냉각을 향상시키도록 팁(64)은 그 부근으로 연장되는 복수의 구멍(65)을 구비할 수 있다. 구멍(65)은 마이크로파 방사선이 이를 통과하도록 치수 설정되는 것이 바람직하다.
홀더(60)는 스테인레스강 또는 양극 산화 알루미늄과 같은 전기 도전성 재료로 형성되는 것이 바람직하다. 전기 도전성 부재(58) 및 홀더(60)는 반응기(10)의 사용 중에 접지되는 것이 바람직하다. 홀더(60)는 튜브(62)와 전기 도전성 부재(58)가 바람직하게 활주 끼워맞춤되는 중공 내부를 갖는다. 홀더(60)는 하우징(12)의 보어(54)의 플랜지 형성 개구(70) 상에 배치된 외향 연장 스커트(68)를 갖는 제 1 본체부(66)를 포함한다. O-링(72)이 스커트(68)와 플랜지 형성 개구(70) 사이에 제공되어 기밀 밀봉부를 형성하고, 스커트(68)는 그 부근으로 연장되는 클램프 체인(74)에 의해 플랜지 형성 개구(70)에 클램핑된다. 도 3에 도시되어 있는 바와 같이, O-링(72)은 바람직하게는 반응기 챔버의 외부에, 따라서 그 내부에 발생된 전자기장의 외부에 배치되고, 스커트(68)로부터 하향으로 현수된 환형 링(76) 주위로 연장될 수 있고, 환형 링은 보어(54)의 직경에 실질적으로 동일한 내경을 갖고 하우징(12)과 전기 접촉을 형성한다. 대안적으로, 스커트(68)의 기부는 하우징(12)과 전기 접촉을 형성할 수 있다.
홀더(60)는 보어(54) 내로 연장되는 제 2의 세장형 본체부(78)를 더 포함한다. 홀더(60)의 제 2 본체부(78)는 바람직하게는 가스 챔버(36) 내로 돌출되지 않는 원추형 돌출부(80)에서 종결된다. 제 2 본체부(78)의 내경은 팁(64)의 림(84)과 결합하여 홀더(60) 내에 팁(64)을 보유하는 숄더(82)를 제공하도록 원추형 돌출부(80)에서 감소한다. 홀더(60)의 제 2 본체부(78)는 제 1 본체부(66) 및 스커트(68) 양자와 일체형인 것이 바람직하다.
도 5에 도시되어 있는 바와 같이, 팁(64)은 가스 챔버(36) 내로 돌출하는 것이 바람직하다. 팁(64)의 길이 및/또는 홀더(60)의 제 2 본체부(78)의 길이는, 팁(64)이 공진 캐비티(14)에 공급된 마이크로파 방사선의 주파수에 따라 공진 캐비티(14) 내에 발생된 정재파 내로 소정 거리로 연장되도록 선택되는 것이 바람직하다.
튜브(62)는 홀더(60)와 전기 접촉하여 유지되는 것이 바람직하다. 도시되어 있는 바와 같이, 금속 스프링(86) 또는 다른 전기 도전성 품목이 홀더(60) 내에 배치될 수 있어, 스프링(86)의 일 단부가 튜브(62) 상에 형성된 제 1 환형 돌출부(88)와 결합하고 스프링(86)의 다른 단부가 홀더(60) 내에 나사 결합된 금속 체결 너트(90)와 결합된다.
튜브(62)는 홀더(60)와 튜브(62) 사이에 환형 채널(94)을 형성하도록 제 1 환형 돌출부(88)로부터 이격된 제 2 환형 돌출부(92)를 갖는다. 냉각수의 유동이 환형 채널(94)에 공급되고, 물은 홀더(60)를 통과하는 냉매 입구 포트(96)를 통해 환형 채널(94)에 공급되고, 홀더(60)를 통과하고 냉매 입구 포트(96)에 실질적으로 대향하여 배치된 냉매 출구 포트(98)를 통해 환형 채널(94)로부터 배출된다.
공진 캐비티에 운송되는 전자기 방사선의 출력에 따라, 공진 캐비티(14) 내에 발생된 전기장의 강도는 가스 챔버(36) 내의 플라즈마를 점화하는데 불충분할 수 있다. 따라서, 글로우-방전(glow-discharge) 전극 조립체(100)가 전기 도전성 부재(58) 내에 수용될 수 있다. 전극 조립체(100)는, 전기 도전성 부재(58)의 튜브(62) 내에 동심적으로 그로부터 이격되어 배치된 세장형 고전압 전극의 형태인 플라즈마-점화, 글로우-방전 전극(102)을 포함한다. 커넥터(104)는 전극(102)을 전원과 접속한다. 전극(102)은 체결 너트(90)와 동심적으로 배치된 합치식 나사산 형성 구멍 내로 나사 결합된다. 가스 입구(106)는 홀더(60)의 제 1 본체부(66)를 통해 반경방향으로 연장되는 가스 입구 포트(108)로부터 질소, 희가스 또는 임의의 다른 실질적으로 불활성 및 이온화 가능 가스와 같은 글로우-방전 가스의 유동을 수용하기 위해 튜브(62) 내에 형성된다. 가스 입구 포트(108)는 가스 입구 포트(108)에 접속하기 위한 홀더에 부착된 커넥터(110)로부터 글로우-방전 가스의 소스로 글로우-방전 가스를 수용한다. 가스 입구(106)는 바람직하게는 전기 도전성 부재(58)의 튜브(62)의 보어에 대해 접선 방향으로 배열되어, 전기 도전성 부재(58)의 팁(64)을 향해 일반적으로 하향으로 전극(102) 주위의 나선형 유동 경로의 형성을 촉진한다.
글로우-방전 전극 조립체를 제공하는 것에 대한 대안으로서, 마이크로파 발 생기는 가스 챔버(36) 내의 플라즈마를 점화하기 위해 전자기장의 출력이 예를 들면 1초 내지 5초 동안 약 6 kW와 같이 초기에 비교적 높도록 구성될 수 있다. 마이크로파 발생기는 이어서 예를 들면 2 내지 3 kW로 또는 가스 챔버(36) 내에 플라즈마를 지속시키는데 충분한 임의의 다른 출력으로 마이크로파 방사선의 출력을 감소시키도록 구성될 수 있다.
도 2에 도시되어 있는 바와 같이, 홀더(60)가 하우징(12) 상에 장착될 때, 전기 도전성 부재(58)는 가스 챔버(36)를 통한 마이크로파의 전파 방향에 실질적으로 수직인 보어(54) 내로 연장되고, 가스 챔버(36) 및 가스 출구 포트(44)의 모두와 실질적으로 동축이다. 전기 도전성 부재(58)의 팁(64)은 바람직하게는 반응기(10)의 사용 중에 공진 캐비티(14) 내에 형성된 전자기장의 최대 강도에 배치된다.
반응기(10)의 사용 중에 가스 챔버(36)를 상승된 온도로, 바람직하게는 20 내지 150℃의 온도로 유지하기 위한 수단이 제공될 수 있다. 예를 들면, 가스 챔버(36)는 가스 챔버(36)를 소정의 상승된 온도로 또는 상승된 온도 부근으로 유지하기 위해 가열되고, 절연되거나 또는 제한 냉각될 수 있다.
반응기(10)의 정상 사용 중에, 냉각수의 유동이 환형 채널(94)에 공급된다. 가스는 가스 입구 포트(40)를 통해 가스 챔버(36) 내로 펌핑되고, 가스 챔버(36) 내에서 나선형으로 유동하고, 가스 출구 포트(44)를 통해 가스 챔버(36)를 떠나고 제 2 가스 도관(46)으로 진입하기 전에 전기 도전성 부재(58)의 팁(64)의 하부로 통과된다.
마이크로파 방사선은 도파관(16)에 의해 공진 캐비티(14) 내로, 따라서 마그네트론용 가스 챔버(36) 내로 공급된다. 단락 회로(18)의 단부 플레이트(26)는 공진 캐비티(14) 내에 정재파를 형성하도록 마이크로파를 반사하고, 전기 도전성 부재(58)의 팁(64)은 가스 챔버(36) 내의 전기장을 강화한다. 도파관(16)의 테이퍼부(24)는 플라즈마의 에너지 흡수를 최대화하면서 도파관(16)의 제 1 및 제 2 본체부(20, 22) 사이의 계면으로부터 마이크로파 방사선의 전도 및 반사를 억제하는 작용을 한다. 전기 도전성 부재(58)의 팁(64)에 대한 홀더(60)의 스커트(68)의 하부면(112)의 기하학적 형상 및 위치는, 스커트(68)의 하부면(112)이 하우징(12)의 보어(54)의 내부면 및 홀더(60)의 제 2 본체부의 외부면과 함께, 마이크로파 방사선의 주파수에서 도파관(16)의 임피던스에 전기 도전성 부재(58)의 평면 내의 임피던스를 정합하기 위한 동축 튜너를 제공하도록 선택된다.
글로우-방전 전극 조립체(100)가 가스 챔버(36) 내의 플라즈마를 점화시키는데 사용될 때, 글로우-방전 가스가 튜브(62)의 가스 입구 포트(106)를 통해 튜브(62)의 보어 내로 유동하게 된다. 저전압, 고전류 소스가 전극(102)에 접속되고, 높은 전압이 전극(102)에 일시적으로 인가된다. 높은 전압은 전극(102)의 팁으로부터 튜브(62)의 근접부를 향해 글로우-방전 가스를 통한 코로나 방전을 초래한다. 이 코로나 방전은 저전압 소스로부터의 큰 전류가 접지로 흐를 수 있는 경로를 제공한다. 큰 전류의 흐름은 글로우-방전 가스 내의 글로우 방전의 형성을 발생시킨다. 이와 같이 형성된 글로우 방전은 전기 도전성 부재(58)의 팁(64)으로부터 가스 챔버(36) 내로 이동하도록 튜브(62) 내의 글로우-방전 가스의 유동에 의 해 발생된다. 가스 챔버(36) 내의 마이크로파 방사선은 글로우 방전에 효율적으로 결합되는 것이 가능하고, 일반적으로 1초 미만에 플라즈마가 점화되어, 전극(102)으로의 전원이 스위칭 오프된 후에(통상 2초 또는 3초 이내) 가스 챔버(36)에만 공급된 마이크로파 방사선에 의해 유지될 수 있는 안정한 마이크로파 플라즈마를 유도한다.
가스 챔버(36) 내에 점화된 플라즈마는 가스 챔버(36)를 통해 유동하는 가스로 가스 챔버(36)로부터 가스 출구 포트(44)를 통해 실행되고, 제 2 가스 도관(46) 내에 수용된다. 따라서, 플라즈마는 팁(64) 하부에 발생되고 가스 출구 포트(44)를 통해 제 2 가스 도관(46) 내로 연장되는 화염과 유사하다. 반응기(10)의 사용 중에 제 2 가스 도관(48) 내에 발생되는 높은 온도에 기인하여, 물과 같은 냉매가 제 2 가스 도관(46)의 외부면 상에 분무되어 제 2 가스 도관(46)을 냉각한다.
가스 챔버(36) 내에 발생된 마이크로파 플라즈마는 여러가지 목적으로 사용될 수 있다. 예를 들면, 플라즈마는 미사용 퍼플루오로화 및/또는 하이드로플루오로카본 챔버 세척 및 에칭 가스, 챔버를 통과하는 가스 스트림 내에 함유된 실란 또는 암모니아와 같은 할로겐화 종을 파괴하는데 사용될 수 있다. 이들 가스와 반응하기 위한 H2 또는 H2O와 같은 반응제가 전기 도전성 부재(58)의 팁(64)의 하부에 발생된 플라즈마 내의 가스와 반응하기 위해 글로우-방전 가스와 함께 가스 챔버(36)에 공급될 수 있다. 파괴될 가스가 화학적으로 부적합한 경우에, 가스 챔버(36)는 복수의 가스 입구를 구비할 수 있어, 전술된 바와 같이 부적합 가스가 가 스 챔버(36)로 개별적으로 운송될 수 있다.

Claims (36)

  1. 반응기 챔버와,
    상기 반응기 챔버 내에 배치된 마이크로파 공진 캐비티와,
    상기 공진 캐비티로 마이크로파 방사선을 운송하며 수렴 테이퍼부를 갖는 도파관과,
    가스 입구 및 가스 출구를 갖는 공진 캐비티 내에서 플라즈마를 개시 및 지속시키기 위해 상기 마이크로파 방사선으로부터 상기 공진 캐비티 내에 전자기 정재파를 형성하기 위한 수단과,
    상기 공진 캐비티 내의 전자기장 내로 돌출하고, 상기 공진 캐비티 내로 돌출하는 교체 가능한 팁을 가지는 전기 도전성 부재와,
    상기 가스 입구로부터 상기 가스 출구로 유동하는 가스와 함께, 상기 공진 캐비티로부터 운송되는 플라즈마를 수용하기 위해 상기 가스 출구로부터 연장되는 도관 수단을 포함하고,
    상기 공진 캐비티는 적어도 하나의 유전체 인서트를 포함하고, 상기 유전체 인서트는 상기 가스 입구와 유체 연통하며 상기 도파관을 따르는 상기 마이크로파 방사선의 전파 경로 내에 위치하는 보어를 가지고, 상기 유전체 인서트는 상기 가스 입구로부터 가스를 수용하며 상기 가스 출구로 가스를 운송하기 위한 원통형 가스 챔버를 형성하고,
    상기 가스 입구는 상기 원통형 가스 챔버 내로 접선 방향으로 가스를 도입하도록 배열되고, 상기 가스 출구는 상기 가스 챔버와 동축인
    마이크로파 플라즈마 반응기.
  2. 제 1 항에 있어서,
    상기 도파관의 테이퍼부는, 그 일 단부에 직사각형 제 1 단부면, 상기 공진 캐비티에 근접한 그 다른 단부에 직사각형 제 2 단부면, 및 이를 통한 마이크로파 방사선의 전파 방향에 직교하는 높이를 갖고,
    상기 도파관의 테이퍼부의 높이는, 상기 제 1 단부면으로부터 상기 제 2 단부면으로 감소하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  3. 제 2 항에 있어서,
    상기 도파관의 테이퍼부는, 상기 제 1 단부면과 상기 제 2 단부면 사이로 각각 연장되고 상기 제 2 단부면에 대해 예각으로 경사지는 두 개의 수렴 측면을 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  4. 제 2 항 또는 제 3 항에 있어서,
    상기 제 1 단부면의 높이는 상기 제 2 단부면의 높이의 3배인 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  5. 제 2 항에 있어서,
    상기 제 2 단부면의 높이는 상기 공진 캐비티의 높이와 동일한 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  6. 제 1 항에 있어서,
    상기 도파관의 테이퍼부는 상기 반응기 챔버에 인접하여 배치되는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  7. 제 1 항에 있어서,
    상기 도파관의 테이퍼부는 이를 통한 마이크로파 방사선의 전파 방향으로 상기 마이크로파 방사선의 파장의 1/2인 길이를 갖는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  8. 제 1 항에 있어서,
    상기 도파관은, 제 1 본체부와, 이를 통해 전파되는 마이크로파 방사선이 그로부터 상기 공진 캐비티로 진입하는 제 2 본체부를 포함하고, 상기 도파관의 테이퍼부는 상기 도파관의 상기 제 1 본체부와 상기 제 2 본체부 사이에 배치되는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  9. 제 1 항에 있어서,
    상기 도관 수단을 냉각하기 위한 수단을 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  10. 제 9 항에 있어서,
    상기 냉각 수단은 상기 도관 수단의 외부면 상에 냉매를 분무하기 위한 수단을 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  11. 제 1 항에 있어서,
    상기 공진 캐비티 내에 전자기 정재파를 형성하기 위한 수단은, 상기 공진 캐비티로부터 마이크로파 방사선을 수용하기 위해 상기 도파관에 대향하여 상기 반응기 챔버 상에 장착되고 상기 공진 캐비티 내로 상기 마이크로파 방사선을 재반사하기 위해 챔버를 통한 마이크로파의 전파 방향에 수직하게 연장되는 단부면을 갖는 제 2 챔버를 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  12. 제 11 항에 있어서,
    상기 제 2 챔버는 상기 제 2 챔버의 단부면으로부터 이격된 조정 수단을 수용하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  13. 제 12 항에 있어서,
    상기 조정 수단은 상기 제 2 챔버를 통한 마이크로파 방사선의 전파 방향에 수직하게 연장되는 전기 도전성 튜너를 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  14. 제 13 항에 있어서,
    상기 전기 도전성 튜너는 상기 제 2 챔버에 대해 이동 가능한 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  15. 제 13 항에 있어서,
    상기 튜너는 원통형인 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  16. 제 1 항에 있어서,
    상기 가스 출구는 상기 반응기 챔버를 통한 마이크로파의 전파 방향에 직교하여 연장되는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  17. 삭제
  18. 제 1 항에 있어서,
    상기 가스 챔버는 원형 또는 타원형 단면을 갖는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  19. 제 1 항에 있어서,
    상기 적어도 하나의 유전체 인서트는 만곡된 측벽부를 각각 갖는 두 개의 유전체 플레이트 부재를 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  20. 삭제
  21. 삭제
  22. 제 1 항에 있어서,
    상기 반응기 챔버는 그 측벽 내에 배치된 투명한 감시 창을 포함하고, 상기 적어도 하나의 유전체 인서트의 내부에는 상기 가스 챔버와 상기 창 사이로 연장되는 보어가 형성되는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  23. 삭제
  24. 삭제
  25. 제 1 항에 있어서,
    상기 팁은 중공형이고, 상기 팁의 둘레를 따라 형성되는 복수의 구멍을 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  26. 제 25 항에 있어서,
    상기 각각의 구멍은 마이크로파 방사선이 이를 통해 통과할 수 있도록 치수 설정된 직경을 갖는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  27. 제 1 항에 있어서,
    상기 팁은 텅스텐, 구리 또는 텅스텐 합금으로 형성되는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  28. 제 1 항에 있어서,
    상기 전기 도전성 부재는 상기 팁에 결합되는 전기 도전성 본체부를 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  29. 제 28 항에 있어서,
    상기 전기 도전성 본체부 주위로 연장되는 환형 냉매 채널과, 상기 환형 냉매 채널에 대해 냉매를 송입하고 그로부터 송출하기 위한 수단을 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  30. 제 29 항에 있어서,
    상기 전기 도전성 본체부는 상기 공진 캐비티를 통해 운송된 가스와 반응하기 위한 반응제를 수용하기 위한 가스 입구 포트를 포함하고, 상기 전기 도전성 본체부는 상기 공진 캐비티 내로 반응제를 운송하기 위한 통로를 포함하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  31. 제 1 항에 있어서,
    상기 전기 도전성 부재는 상기 반응기 챔버를 통한 마이크로파의 전파 방향에 수직하게 전자기장 내로 연장되는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  32. 제 1 항에 있어서,
    상기 전기 도전성 부재는 상기 가스 출구에 대향하여 배치되는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  33. 제 1 항에 있어서,
    상기 전기 도전성 부재는 상기 공진 캐비티 내의 플라즈마를 점화하기 위한 수단을 수용하는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  34. 제 1 항에 있어서,
    상기 마이크로파 방사선을 발생시키기 위한 마이크로파 발생기를 포함하고, 상기 발생기는 상기 공진 캐비티 내의 플라즈마를 개시하는 출력으로 마이크로파 방사선을 발생시키도록 구성되는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  35. 제 34 항에 있어서,
    상기 발생기는 마이크로파 방사선이 발생되는 출력을 소정 시간 후에 감소시키도록 구성되는 것을 특징으로 하는
    마이크로파 플라즈마 반응기.
  36. 프로세스 도구로부터의 가스 스트림 배기물을 처리하기 위한 장치에 있어서,
    제 1 항에 따른 마이크로파 플라즈마 반응기를 포함하는 것을 특징으로 하는
    가스 스트림 배기물 처리 장치.
KR1020087003633A 2005-08-15 2006-07-27 마이크로파 플라즈마 반응기 KR101286348B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GBGB0516695.4A GB0516695D0 (en) 2005-08-15 2005-08-15 Microwave plasma reactor
GB0516695.4 2005-08-15
PCT/GB2006/002794 WO2007020373A1 (en) 2005-08-15 2006-07-27 Microwave plasma reactor

Publications (2)

Publication Number Publication Date
KR20080033408A KR20080033408A (ko) 2008-04-16
KR101286348B1 true KR101286348B1 (ko) 2013-07-23

Family

ID=35098307

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087003633A KR101286348B1 (ko) 2005-08-15 2006-07-27 마이크로파 플라즈마 반응기

Country Status (10)

Country Link
US (2) US8776719B2 (ko)
EP (1) EP1915768B1 (ko)
JP (2) JP5027808B2 (ko)
KR (1) KR101286348B1 (ko)
CN (2) CN101243534B (ko)
AT (1) ATE509365T1 (ko)
GB (2) GB0516695D0 (ko)
SG (1) SG186661A1 (ko)
TW (2) TWI399454B (ko)
WO (1) WO2007020373A1 (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4611409B2 (ja) * 2008-09-03 2011-01-12 晃俊 沖野 プラズマ温度制御装置
KR100965491B1 (ko) * 2009-11-02 2010-06-24 박영배 복합 플라스마 발생장치
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US8916793B2 (en) 2010-06-08 2014-12-23 Applied Materials, Inc. Temperature control in plasma processing apparatus using pulsed heat transfer fluid flow
US9338871B2 (en) 2010-01-29 2016-05-10 Applied Materials, Inc. Feedforward temperature control for plasma processing apparatus
US8880227B2 (en) * 2010-05-27 2014-11-04 Applied Materials, Inc. Component temperature control by coolant flow control and heater duty cycle control
GB2490355B (en) * 2011-04-28 2015-10-14 Gasplas As Method for processing a gas and a device for performing the method
US8653911B2 (en) 2011-07-22 2014-02-18 Triple Cores Korea Atmospheric plasma equipment and waveguide for the same
JP2013026118A (ja) * 2011-07-25 2013-02-04 Triplecores Korea 常圧プラズマ装置及びこのための導波管
CN102905456B (zh) * 2011-07-27 2015-05-20 韩国三重核心株式会社 大气等离子体设备和用于该设备的波导
US10274270B2 (en) 2011-10-27 2019-04-30 Applied Materials, Inc. Dual zone common catch heat exchanger/chiller
KR101382003B1 (ko) * 2011-11-04 2014-04-21 (주)트리플코어스코리아 플라즈마 반응기 및 이를 이용한 가스스크러버
US9382818B2 (en) 2012-09-05 2016-07-05 Powerdyne, Inc. Fuel generation using high-voltage electric fields methods
US9677431B2 (en) 2012-09-05 2017-06-13 Powerdyne, Inc. Methods for generating hydrogen gas using plasma sources
EP2904221A4 (en) 2012-09-05 2016-05-18 Powerdyne Inc METHOD FOR GENERATING H2O, CO2, O2 AND A CARBON FACTORY MATERIAL
BR112015004836A2 (pt) 2012-09-05 2017-07-04 Powerdyne Inc método para sequestrar particulados de toxina
BR112015004828A2 (pt) 2012-09-05 2017-07-04 Powerdyne Inc método para produzir combustível
WO2014039711A1 (en) 2012-09-05 2014-03-13 Powerdyne, Inc. Fuel generation using high-voltage electric fields methods
US9561486B2 (en) 2012-09-05 2017-02-07 Powerdyne, Inc. System for generating fuel materials using Fischer-Tropsch catalysts and plasma sources
CN102933016A (zh) * 2012-11-28 2013-02-13 吉林大学 车载燃料的等离子体微波功率合成系统
US9630142B2 (en) 2013-03-14 2017-04-25 Mks Instruments, Inc. Toroidal plasma abatement apparatus and method
KR101427720B1 (ko) 2013-03-27 2014-08-13 (주)트리플코어스코리아 단차부 및 블록부를 이용한 플라즈마 도파관
GB2516267B (en) * 2013-07-17 2016-08-17 Edwards Ltd Head assembly
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
CN103968882B (zh) * 2014-05-22 2016-05-18 哈尔滨工业大学 微波与弱磁等离子体相互作用的测试装置
KR102235612B1 (ko) 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법
US10153133B2 (en) 2015-03-23 2018-12-11 Applied Materials, Inc. Plasma reactor having digital control over rotation frequency of a microwave field with direct up-conversion
US10340124B2 (en) 2015-10-29 2019-07-02 Applied Materials, Inc. Generalized cylindrical cavity system for microwave rotation and impedance shifting by irises in a power-supplying waveguide
EP3309815B1 (de) * 2016-10-12 2019-03-20 Meyer Burger (Germany) AG Plasmabehandlungsvorrichtung mit zwei, miteinander gekoppelten mikrowellenplasmaquellen sowie verfahren zum betreiben einer solchen plasmabehandlungsvorrichtung
US10712005B2 (en) 2017-07-14 2020-07-14 Goodrich Corporation Ceramic matrix composite manufacturing
US10480065B2 (en) * 2017-09-19 2019-11-19 Goodrich Corporation Gas distribution for chemical vapor deposition/infiltration
US10818479B2 (en) * 2017-11-12 2020-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Grounding cap module, gas injection device and etching apparatus
US11469077B2 (en) * 2018-04-24 2022-10-11 FD3M, Inc. Microwave plasma chemical vapor deposition device and application thereof
AU2019325589B2 (en) 2018-08-23 2023-08-31 Transform Materials Llc Systems and methods for processing gases
US11633710B2 (en) 2018-08-23 2023-04-25 Transform Materials Llc Systems and methods for processing gases
CN114560443B (zh) * 2022-03-02 2023-07-07 瓮福(集团)有限责任公司 一种同时制备氟化氢及晶体硅产品的微波等离子体装置
CN115665914B (zh) * 2022-12-22 2023-03-10 河北科技大学 多源微波加热装置
CN117373964B (zh) * 2023-12-05 2024-03-12 天津吉兆源科技有限公司 一种用于微波远程等离子体源自动点火装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5418430A (en) * 1992-11-26 1995-05-23 United Kingdom Atomic Energy Authority Plasma generator with field-enhancing electrodes
EP1093847A1 (en) * 1999-04-12 2001-04-25 Mitsubishi Heavy Industries, Ltd. Organic halogen compound decomposing device and operation control method therefor, and organic halogen compound decomposing method
US6298806B1 (en) * 1997-07-16 2001-10-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Device for exciting a gas by a surface wave plasma
US20020112819A1 (en) * 1999-04-12 2002-08-22 Mohammad Kamarehi Remote plasma generator with sliding short tuner

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2002002A (en) * 1931-11-20 1935-05-21 Deere & Co Tractor
JPS59103340A (ja) 1983-09-21 1984-06-14 Hitachi Ltd プラズマ処理装置
JPS62155934A (ja) * 1985-12-28 1987-07-10 Canon Inc 気相励起装置
US4866346A (en) * 1987-06-22 1989-09-12 Applied Science & Technology, Inc. Microwave plasma generator
US4970435A (en) 1987-12-09 1990-11-13 Tel Sagami Limited Plasma processing apparatus
US4893584A (en) * 1988-03-29 1990-01-16 Energy Conversion Devices, Inc. Large area microwave plasma apparatus
US5037666A (en) 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
US5144199A (en) 1990-01-11 1992-09-01 Mitsubishi Denki Kabushiki Kaisha Microwave discharge light source device
DE4132558C1 (ko) * 1991-09-30 1992-12-03 Secon Halbleiterproduktionsgeraete Ges.M.B.H., Wien, At
US5349154A (en) * 1991-10-16 1994-09-20 Rockwell International Corporation Diamond growth by microwave generated plasma flame
JPH0653170A (ja) 1992-03-18 1994-02-25 Nec Corp Ecrプラズマエッチング装置
JPH0673567A (ja) 1992-08-28 1994-03-15 Hitachi Ltd マイクロ波プラズマ処理装置
FR2695944B1 (fr) * 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3328844B2 (ja) 1992-09-24 2002-09-30 株式会社日立製作所 プラズマプロセス装置
DE4235914A1 (de) 1992-10-23 1994-04-28 Juergen Prof Dr Engemann Vorrichtung zur Erzeugung von Mikrowellenplasmen
JP3337266B2 (ja) 1993-04-15 2002-10-21 三菱重工業株式会社 電子サイクロトロン共鳴プラズマの科学蒸着装置
US5453125A (en) 1994-02-17 1995-09-26 Krogh; Ole D. ECR plasma source for gas abatement
GB9414561D0 (en) * 1994-07-19 1994-09-07 Ea Tech Ltd Method of and apparatus for microwave-plasma production
US5793013A (en) * 1995-06-07 1998-08-11 Physical Sciences, Inc. Microwave-driven plasma spraying apparatus and method for spraying
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US5825485A (en) * 1995-11-03 1998-10-20 Cohn; Daniel R. Compact trace element sensor which utilizes microwave generated plasma and which is portable by an individual
US5902404A (en) * 1997-03-04 1999-05-11 Applied Materials, Inc. Resonant chamber applicator for remote plasma source
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6390019B1 (en) * 1998-06-11 2002-05-21 Applied Materials, Inc. Chamber having improved process monitoring window
JP2000133494A (ja) * 1998-10-23 2000-05-12 Mitsubishi Heavy Ind Ltd マイクロ波プラズマ発生装置及び方法
JP2000296326A (ja) * 1999-04-12 2000-10-24 Mitsubishi Heavy Ind Ltd 有機ハロゲン化合物分解装置の運転制御方法
US6605750B1 (en) * 1999-04-12 2003-08-12 Mitsubishi Heavy Industries, Ltd. Method for decomposition-treating organic halogen compound and decomposing device
US20020007912A1 (en) * 1999-04-12 2002-01-24 Mohammad Kamarehi Coolant for plasma generator
DE19943953A1 (de) * 1999-09-14 2001-04-12 Bosch Gmbh Robert Vorrichtung und Verfahren zur Erzeugung eines lokalen Plasmas durch Mikrostrukturelektrodenentladungen mit Mikrowellen
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP4252702B2 (ja) 2000-02-14 2009-04-08 株式会社荏原製作所 反応副生成物の配管内付着防止装置及び付着防止方法
JP4377510B2 (ja) 2000-03-02 2009-12-02 東京エレクトロン株式会社 プラズマ処理装置
US6673323B1 (en) * 2000-03-24 2004-01-06 Applied Materials, Inc. Treatment of hazardous gases in effluent
WO2001093315A2 (en) * 2000-05-25 2001-12-06 Jewett Russell F Methods and apparatus for plasma processing
KR100755241B1 (ko) 2000-05-29 2007-09-04 가부시키가이샤 아도테쿠 프라즈마 테쿠노로지 피처리물처리장치 및 그것을 사용한 플라즈마설비
US7322313B2 (en) * 2002-08-30 2008-01-29 Sekisui Chemical Co., Ltd. Plasma processing system
JP2004216231A (ja) * 2003-01-10 2004-08-05 Toshiba Corp 高周波プラズマによる化合物分解方法および化合物分解装置
US6872909B2 (en) * 2003-04-16 2005-03-29 Applied Science And Technology, Inc. Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
GB0309932D0 (en) 2003-04-30 2003-06-04 Boc Group Plc Apparatus and method for forming a plasma

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5418430A (en) * 1992-11-26 1995-05-23 United Kingdom Atomic Energy Authority Plasma generator with field-enhancing electrodes
US6298806B1 (en) * 1997-07-16 2001-10-09 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Device for exciting a gas by a surface wave plasma
EP1093847A1 (en) * 1999-04-12 2001-04-25 Mitsubishi Heavy Industries, Ltd. Organic halogen compound decomposing device and operation control method therefor, and organic halogen compound decomposing method
US20020112819A1 (en) * 1999-04-12 2002-08-22 Mohammad Kamarehi Remote plasma generator with sliding short tuner

Also Published As

Publication number Publication date
US8776719B2 (en) 2014-07-15
WO2007020373A1 (en) 2007-02-22
ATE509365T1 (de) 2011-05-15
EP1915768A1 (en) 2008-04-30
KR20080033408A (ko) 2008-04-16
JP5027808B2 (ja) 2012-09-19
JP5600394B2 (ja) 2014-10-01
CN101248506B (zh) 2011-09-07
US8518162B2 (en) 2013-08-27
SG186661A1 (en) 2013-01-30
CN101243534A (zh) 2008-08-13
CN101248506A (zh) 2008-08-20
CN101243534B (zh) 2010-05-26
JP2009504393A (ja) 2009-02-05
EP1915768B1 (en) 2014-09-10
TWI399454B (zh) 2013-06-21
US20100006227A1 (en) 2010-01-14
GB0516695D0 (en) 2005-09-21
GB0521961D0 (en) 2005-12-07
TW200712249A (en) 2007-04-01
TW200727325A (en) 2007-07-16
JP2009504394A (ja) 2009-02-05
TWI405239B (zh) 2013-08-11
US20110197759A1 (en) 2011-08-18

Similar Documents

Publication Publication Date Title
KR101286348B1 (ko) 마이크로파 플라즈마 반응기
JP4339588B2 (ja) プラズマを用いた処理用ガスのための装置
TWI417931B (zh) 電漿清除設備
JP2000133494A (ja) マイクロ波プラズマ発生装置及び方法
TWI400010B (zh) 形成電漿之裝置及方法
US6261525B1 (en) Process gas decomposition reactor
KR100394994B1 (ko) 전자파를 이용한 플라즈마토치
KR100695036B1 (ko) 고온 대용량 플라즈마 가스 스크러버
US8829770B2 (en) Electrode cooling system in a multi-electrode microwave plasma excitation source
JP4418227B2 (ja) 大気圧プラズマ源
KR101229131B1 (ko) 가스 스트림 처리 방법

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160627

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170629

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20180628

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20190627

Year of fee payment: 7