JP5421258B2 - フォトリソグラフィープロセス用の非共有結合架橋性材料 - Google Patents

フォトリソグラフィープロセス用の非共有結合架橋性材料 Download PDF

Info

Publication number
JP5421258B2
JP5421258B2 JP2010520114A JP2010520114A JP5421258B2 JP 5421258 B2 JP5421258 B2 JP 5421258B2 JP 2010520114 A JP2010520114 A JP 2010520114A JP 2010520114 A JP2010520114 A JP 2010520114A JP 5421258 B2 JP5421258 B2 JP 5421258B2
Authority
JP
Japan
Prior art keywords
composition
layer
group
substrate
alkyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2010520114A
Other languages
English (en)
Other versions
JP2010535360A (ja
Inventor
ダニエル エム. サリヴァン
ルンホイ ファン
チャールズ ジェイ. ニーフ
ジンファ ダイ
マイケル ビー. スオォープ
Original Assignee
ブルーワー サイエンス アイ エヌ シー.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ブルーワー サイエンス アイ エヌ シー. filed Critical ブルーワー サイエンス アイ エヌ シー.
Publication of JP2010535360A publication Critical patent/JP2010535360A/ja
Application granted granted Critical
Publication of JP5421258B2 publication Critical patent/JP5421258B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F212/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an aromatic carbocyclic ring
    • C08F212/02Monomers containing only one unsaturated aliphatic radical
    • C08F212/04Monomers containing only one unsaturated aliphatic radical containing one ring
    • C08F212/14Monomers containing only one unsaturated aliphatic radical containing one ring substituted by heteroatoms or groups containing heteroatoms
    • C08F212/22Oxygen
    • C08F212/24Phenols or alcohols
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F226/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen
    • C08F226/06Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a single or double bond to nitrogen or by a heterocyclic ring containing nitrogen by a heterocyclic ring containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/14Methyl esters, e.g. methyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/12Esters of monohydric alcohols or phenols
    • C08F220/16Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms
    • C08F220/18Esters of monohydric alcohols or phenols of phenols or of alcohols containing two or more carbon atoms with acrylic or methacrylic acids
    • C08F220/1804C4-(meth)acrylate, e.g. butyl (meth)acrylate, isobutyl (meth)acrylate or tert-butyl (meth)acrylate
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/52Amides or imides
    • C08F220/54Amides, e.g. N,N-dimethylacrylamide or N-isopropylacrylamide
    • C08F220/56Acrylamide; Methacrylamide
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31678Of metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/31504Composite [nonstructural laminate]
    • Y10T428/31855Of addition polymer from unsaturated monomers
    • Y10T428/31935Ester, halide or nitrile of addition polymer

Description

(関連出願)
本出願は、「フォトリソグラフィープロセス用のギャップ充填材料」と題する2007年7月30日出願の仮出願第60/952,667号の優先権を主張するものであり、この仮出願は引用によって本明細書の一部を構成するものとする。
本発明は一般に、新規な樹脂組成物、およびこの組成物を用いて、水性アルカリ現像液に溶解する、リソグラフィープロセス用のギャップ充填材料、エッチングマスク組成物、スピンオンカーボン組成物および反射防止膜組成物を生成するのに有用な非共有結合架橋組成物を生成する方法に関する。
1. 充填用組成物
集積回路メーカーは、歩留まりを改善し、ユニットケース(unit case)を小さくし、かつオンチップ計算能力(on−chip computing power)を増すために、基板ウエハサイズの最大化およびデバイスの形状寸法(feature dimensions)の最小化を常に探求している。集積回路装置が小型化するにつれて、より小寸法で、形状の完全性(feature integrity)が改善された多層配線の必要性が増している。設計ルールにより集積回路装置が絶えず小型化しているので、ダマシンインテグレーションスキームは、基板上のチップ密度を増すことができる一つの方法である。ダマシン法は、配線をもたらす金属層をエッチングする必要がなく、配線間隔をより密にすることができ、またギャップ充填用誘電材料を必要としない。
ダマシン法は大きく分けて、シングルダマシンとデュアルダマシンの2種類がある。シングルダマシン法は、誘電体層を貫通して下層の導電膜とつながる導電性プラグを形成することにより配線を製造する。次いで、他の誘電体層を形成し、この第2の層で実際の金属配線をパターン化する。デュアルダマシン法は、シングルダマシン法よりも小寸法の多層配線を構築する。ビアホールと溝をパターン化して一つの誘電体層とし、次いで金属等の導電性材料を一工程で充填する。デュアルダマシン法の方が工程数が少なく、より小さく複雑な集積回路装置が得られるため、製造上の複雑度やコストが下がる。
上記デュアルダマシン法の利点にもかかわらず、表面形状およびより複雑な積層のために、パターニング工程およびエッチング工程がより困難になっている。上記問題を解決するために、自己整合デュアルダマシン法、トレンチファーストデュアルダマシン法、およびビアファーストデュアルダマシン法等の技術が開発されている。自己整合デュアルダマシンの用途は限定される。何故ならば、この方法は、反射防止層の機能を果たす肉厚の中間層を必要とし、溝とビアホールとの整合がほぼ完全でなければならず、また誘電体層とエッチング停止層との間で非常に高いエッチング選択性を必要とするからである。トレンチファーストデュアルダマシン法は、最初にマスキングして、溝をエッチングし、次いでビアホールパターンを新たにエッチングした溝と整合させることからなる。トレンチファーストデュアルダマシン法を成功させるには、非常に均一な溝を形成し、ビアホールの重要な寸法制御を維持する必要があるため、誘電体層とエッチング停止層との間で高いエッチング選択性が必要となる。エッチング停止層を使用すると、さらに誘電材料の誘電率が増すことがあり、場合によっては装置の故障につながる。
ビアファーストデュアルダマシンの方が多少単純な技術である。何故ならば、ビアホールは積層体全体の上部に形成されるからである。ビアホールをエッチングした後、リソグラフィー法で、溝パターンを形成する。ビアファーストデュアルダマシンは、溝エッチング工程中にビアホール底部を保護することができ、かつ表面を平坦化して溝のパターニングをより簡単にすることができる充填用組成物を必要とする。ビアホールまたはコンタクトホールを一部または完全に塞ぎ、かつ溝のエッチング中に底部や側壁をさらなるエッチングの攻撃から保護するために、通常有機材料が使用されている。部分充填法では、ギャップ充填材料はビアホールの底部のみを保護し、被覆率(coverage)と深さの安定した制御が必要となる。全充填法では、ビアホールは完全に塞がれ、層は平坦化される。充填材料が誘電体層表面を被覆するのであれば、これらの有機充填材料は、溝層のパターニングにおけるパターン劣化および線幅変動を低減または排除するための底部反射防止膜(以下で説明する)としても機能する。充填工程の後、最上層でエッチング法を行う。
構造体にギャップ充填材料が充填されると、この材料は、有機反射防止膜層、つぎにフォトレジスト層で被覆される。フォトレジストを描画し、次いで反応性イオンエッチングを用いてパターンを基板に転写する。このプロセスでは、ほぼ必ず誘電体層中の構造体(ビアホールまたは溝)にギャップ充填材料が残留し、これを除去しなければならない。このことは、基板をスピンオンカーボン材料で被覆し、このカーボン材料がギャップ充填材料の代わりにビアホールや溝に充填される三層プロセスでも生じる。スピンオンカーボン層は次に無機ハードマスク層で被覆され、次にフォトレジスト層で被覆される。
ビアホールや溝からギャップ充填材料またはスピンオンカーボン材料を除去する従来の方法は、高出力酸素プラズマを用いることであり、基本的に材料を燃やす「アッシング法」と呼ばれる。この技法は古い技術には好適であるが、業界はより誘電率の低い誘電体に移行しているため、潜在的な問題が生じている。例えば、これらの低k誘電体は通常無機材料ではなく、有機材料であり、多孔質のものもある。従って、これらの新しい誘電体材料は特に酸素アッシング法でエッチングダメージを非常に受けやすい。一つの懸念は、従来の除去技術が材料の誘電率増加を引き起こすことがあり、そもそも低k誘電体の目的に反することである。
2. 反射防止膜
フォトレジストパターニング工程中は、フォトレジストパターンの歪みを防止するために、反射防止膜を用いて下層材料からの反射を制御する必要がある。ギャップ充填材料が適切な光吸収性を有していれば、反射防止層として機能することもできる。あるいは、フォトレジストを塗布する前に、ギャップ充填材料上に反射防止層を設けてもよい。反射防止膜は反射を防止または最小限にとどめるには効果的であるが、反射防止膜を使用すると、膜除去のためにプロセスにさらなる画期的な工程が必要になる。必然的にプロセスにかかる費用が増大する。
この問題を解決する一つの方法は、湿式現像性反射防止膜を使用することであった。この種の膜はフォトレジスト材料の露光域と共に除去することができる。即ち、パターンマスクを介してフォトレジスト層を露光した後に、フォトレジストの露光域は湿式現像が可能であり、その後水性現像液で除去され、後には望ましい溝と穴のパターンが残る。湿式現像性底部反射防止膜は通常、ポリマーバインダーとしてアルカリ媒体に溶解するポリマー酸を使用しており、レジスト現像時に底部反射防止膜を除去することができる。これらの従来の湿式現像性底部反射防止膜は、熱的に駆動されるアミド酸からイミドへの変換を利用してレジスト溶剤に不溶になる。このプロセスはうまく作用するが、制約が2つある。第一の点は、底部反射防止膜が有機溶剤には溶けないがアルカリ現像液には溶ける焼成温度範囲が、硬化した層の共有結合による架橋のために(10℃未満と)狭いことである。第二の点は、湿式現像法は等方性であり、つまり底部反射防止膜が水平方向と等速で垂直方向に除去され、レジストラインの下がカットされることを意味する。これは、(0.2ミクロンを超える)大寸法では問題にならないが、この数値を下回るライン寸法では、容易にラインが上がったり、崩壊する。
従って、従来のフォトレジスト現像液で除去されると同時に、良好な塗布性および光学的性質を示すギャップ充填材料、エッチングマスク組成物、スピンオンカーボン組成物および反射防止膜組成物が必要である。湿式現像性膜が現像液に溶解する速度を制御することも重要な因子であり、幅広い焼成時間範囲(bake windows)が好適である。従来の除去方法の問題を回避するギャップ充填材料およびスピンオンカーボン材料、並びにビアホールや溝から上記材料を除去する方法も必要である。
本発明は、リソグラフィープロセスで有用である、非共有結合架橋性の新規ギャップ充填用組成物、エッチングマスク組成物、スピンオンカーボン組成物および反射防止膜組成物を提供することにより、従来技術の問題を克服する。
さらに詳細には、ある表面を有する基板と、この表面に隣接する非共有結合架橋層との組み合わせを提供する。上記層は、溶剤系に溶解または分散したポリマーを含む組成物から形成される。
別の実施形態では、マイクロリソグラフィープロセスで使用する構造体の形成方法を提供する。本発明の方法は、ある表面を有する基板を準備し、この表面の少なくとも一部に組成物を塗布することを含む。
さらに、反射防止用または充填用組成物を提供する。新規組成物は、溶剤系に分散または溶解したポリマーを含む。上記ポリマーは、
で表される繰り返しモノマーを有する。上記式中、nは0〜4であり、x:yのモル比は約1:3〜約3:1である。Rは保護基であり、各Rは個々にアルキル、ハロゲン、−OH、および多官能性フェノールからなる群の中から選択される。
本発明はさらに、ある表面を有する基板と、この基板表面に隣接する層との組み合わせに関する。上記層は、−OH−−−O、−OH−−−N、−NH−−−O、または−NH−−−N、およびこれらの組み合わせからなる群の中から選択される式で表される結合を有する非共有結合架橋化合物からなる。
本発明はさらに、
で表される繰り返しモノマーからなるポリマーを提供する。上記式中、nは0〜4であり、x:yのモル比は約1:3〜約3:1である。さらに、Rは、
からなる群の中から選択され、各Rは個々にアルキル、ハロゲン、−OH、および多官能性フェノールからなる群の中から選択され、Rはアルキルからなる群の中から選択される。
図1は、実施例3のギャップ充填および現像プロセス中のウエハを示し、図1(a)は本発明の組成物で被覆し、焼成して非共有結合による架橋を生じたビアホールを有するウエハの断面図を示し、図1(b)は被覆したウエハを60秒間現像液と接触させた後の断面図を示し、図1(c)は被覆したウエハを100秒間現像液と接触させた後の断面図を示す。 図2は、実施例4の溝充填および現像プロセス中のウエハを示し、図2(a)は本発明の組成物で被覆し、焼成して非共有結合による架橋を生じた溝を有するウエハの断面図を示し、図2(b)は被覆したウエハを0秒間現像液と接触させた後の断面図を示す 図3は、実施例5に記載の本発明の湿式除去プロセス中のウエハを示し、図3(a)は60秒間CFプラズマエッチングを施したウエハの断面図を示し、図3(b)は引き続き5秒間Oプラズマエッチングを施した後のウエハの断面図を示し、図3(c)はエッチング工程を行い、その後現像液と120秒間接触させて構造体から組成物を除去したウエハの断面図を示す。 図4は実施例10のパターン化した積層体を示す走査電子顕微鏡(SEM)写真である。
本発明は一般に、デュアルダマシン構造体等のマイクロリソグラフィー構造体を形成するのに有用である、新規な非共有結合架橋性組成物に関する。上記組成物には、反射防止組成物、ギャップ充填用組成物、スピンオンカーボン組成物およびエッチングマスク組成物が含まれる。
より詳細には、本発明の組成物は、非共有結合による架橋に基づく好適な配合物であり得る。本明細書で使用する「非共有結合による架橋」、「非共有結合架橋」または「非共有結合架橋性」という用語は、共有結合でのような電子対の密接な共有を必要とせず、むしろより分散した電磁相互作用の変動を必要とする架橋を指す。非共有結合架橋の好ましい例としては、水素結合および分子間静電引力が挙げられる。ドナー原子のO、NまたはFに共有結合した水素が、アクセプター原子の電気陰性原子に引きつけられると、水素結合が生じる。このような結合の一般的な例としては、−OH−−−N、−OH−−−O、−NH−−−O、および−NH−−−Nが挙げられる。水素結合を用いてギャップ充填材料、反射防止膜、スピンオンカーボン組成物およびエッチングマスク組成物用ポリマーを非共有結合により架橋させて、これまでに得られなかった特性を有する組成物を得ることができることが知見された。
例えば、一実施形態によれば、これらの非共有結合により架橋した組成物は湿式現像性である(即ち水性現像液に溶解する)。つまり、従来の架橋組成物は、一般に組成物を架橋させて、通常のレジスト溶剤に溶けないようにする第一の反応と、組成物を現像液に溶けるようにする第二の熱、化学または光化学反応を必要とする共有結合(結合エネルギー>100kJ/mol)を用いて生成される。非共有結合相互作用が弱くなると(結合エネルギー<100kJ/mol)、従来の共有結合による架橋でのように耐溶剤性が付与され得るが、この弱い非共有結合相互作用はまた、組成物を溶解または除去する塩基現像液により崩壊し得ることが知見された。従って、これらの非共有結合により架橋した組成物に対し同時に耐溶剤性を付与することができるが、単一の架橋または硬化工程で湿式現像が可能である。
耐溶剤性を付与するが湿式現像性ではない非共有結合相互作用があることも知見された。即ち、上記非共有結合相互作用は従来の水性現像液によって除去することはできない。しかし、共有結合により架橋した組成物とは異なり、従来の水性現像液よりも強力であるが、従来の剥離剤ほど攻撃的ではない化学剥離剤により除去することができる。好適には、強度が中程度のこの種の剥離剤は低k誘電体材料と一緒に使用しても安全である。従って、これらの組成物は特に、湿式現像性組成物が望ましくないときに低k誘電体材料を必要とするギャップ充填法に最適である。何故ならば、残留する材料は尚、これらの低攻撃性剥離剤を用いてビアホールや溝から容易に除去することができ、共有結合により架橋した組成物のために必要とされる従来のエッチング除去法が回避されるからである。この態様によれば、好ましい非二重結合による架橋は、静電結合等の僅かに強い結合を必要とする。
実施形態の如何を問わず、本発明の組成物は、溶剤系に分散または溶解したポリマーを含む。好ましいポリマーには、所望の非共有結合に寄与する官能基を含むものが挙げられる。ポリマーの好ましい入手方法は、連鎖重合であり、より好ましくは遊離基開始剤を使用することである。ポリマーは、全体が結合に寄与するモノマーから構成されていてもよいし、結合モノマーと非結合モノマーとの混合物であってもよい。モノマーが水素結合の供与体もしくは受容体であるか、またはイオン性であれば、結合モノマーと考えられる。通常の水素結合供与体には、酸素原子、窒素原子またはフッ素原子に共有結合した水素原子を含む官能基が含まれ得る。好ましい官能基としては、限定はされないが、フェノール基、アルコール基、第一級アミン基、第二級アミン基、アミド基、尿素基、チオ尿素(thiol ureas)基、または電子求引基の近くに陽子を含む基が挙げられる。通常の水素結合受容体には、電気陰性力を有する基が含まれ得る。好ましい受容体基としては、限定はされないが、第三級アミン基、エーテル基、チオールエーテル基、シアノ基、ホスフィンオキサイド基、カルボニル基またはスルホニル基が挙げられる。ポリマー中の水素結合供与体と水素結合受容とのモル比は約1:3〜約3:1、好ましくは約2:3〜約3:2、最も好ましくは約1:1であることが好適である。イオン性官能基は、脱プロトン化またはプロトン化してイオンを形成することができる様々な有機酸または有機塩基を含み得る。
好ましい実施形態では、結合対の少なくとも一方(供与体または受容体)に保護基がある。この保護基は、溶液中にあって結合を最小限に抑え、また非共有結合架橋の形成を可能にするために焼成/硬化中に除去される。保護基は、結合対のそれぞれの官能基に応じて公知の保護基であればよい。保護基は、酸に不安定であることが好ましい。さらに、保護基は通常結合対の一方に共有結合するが、(例えば静電結合のような)非共有結合も可能である。好ましい保護基としては、酢酸のような弱酸もしくは揮発性酸またはピリジン、および
(式中、Rは、(好ましくはC−C、より好ましくはC−Cの)アルキルからなる群の中から選択される)からなる群の中から選択される基が挙げられる。
保護基は、熱的手段のみで切断してもよいし、触媒を用いて切断してもよい。脱保護機構の一例をカルバミン酸t−ブチル保護基を用いる以下のスキームAに示す。
本発明の組成物の製造で使用する好ましいポリマーには、
およびこれらの組み合わせからなる群の中から選択される繰り返しモノマーが含まれる。式中、Xは−C−および−N−からなる群の中から選択され、R
からなる群の中から選択されるものを含む保護基であり、各Rは個々に、(好ましくはC−C、より好ましくはC−Cの)アルキル、ハロゲン、−OH、および多官能性フェノールからなる群の中から選択され、RはH、アルキル(好ましくはC−C、より好ましくはメチル)、−CN、−Br、および−Clからなる群の中から選択され、Rは、アルキル(好ましくはC−Cアルキル、より好ましくはC−Cアルキル)、
からなる群の中から選択され、Rは(好ましくはC−C、より好ましくはC−Cの)アルキルからなる群の中から選択される。
組成物が湿式現像性でない実施形態では、本発明の組成物の製造で使用する好ましいポリマーには、
からなる群の中から選択される繰り返しモノマーが含まれる。式中、RはH、アルキル(好ましくはC−C、より好ましくはメチル)、−CN、−Br、および−Clからなる群の中から選択され、Rはアルキル(好ましくはC−Cアルキル、より好ましくはC−Cアルキル)、
からなる群の中から選択される。
他の好ましい実施形態によれば、本発明の組成物は、
から形成されるコポリマーであるポリマーを含む。上記式中、nは0〜4であり、Rは、
からなる群の中から選択されるものを含む保護基であり、Rは(好ましくはC−C、より好ましくはC−Cの)アルキル、ハロゲン、−OHおよび多官能性フェノールからなる群の中から選択され、Rは(好ましくはC−C、より好ましくはC−Cの)アルキルからなる群の中から選択される。ポリマー中の式(II)に対する式(I)のモル比が、約1:3〜約3:1、好ましくは約2:3〜約3:2、最も好ましくは約1:1であることが好ましい。本実施形態で生成される組成物が湿式現像可能であることが好ましい。
実施形態の如何を問わず、組成物は、好ましくは周囲条件下において、実質的に均質な分散液を生成するのに十分な時間ポリマーを溶剤系に分散または溶解するだけで生成される。ポリマーは、組成物中の固形分総重量を100重量%として、約1重量%〜約40重量%、より好ましくは約5重量%〜約25重量%、さらに好ましくは約5重量%〜約15重量%のレベルで組成物中に存在すればよい。このポリマーの重量平均分子量は好ましくは約1000ダルトン〜約1000000ダルトン、より好ましくは約10000ダルトン〜約100000ダルトンである。
ポリマーを使用してスピンオンカーボン組成物を生成する場合、組成物中の全固形分の総原子数を100%として、少なくとも約75%の炭素原子、好ましくは少なくとも約80%の炭素原子、さらに好ましくは約80%〜約95%の炭素原子が組成物中の炭素量となるように十分量のポリマーを提供すればよい。当業者であれば、組成物に含まれる固形分の化学構造に基づいてこのパーセンテージを容易に計算することができる。あるいは、公知の分析設備、例えばX線蛍光分光法、オージェ分光法、二次イオン質量分析法を用いて炭素原子含有量および全原子含有量を分析、計算することができる。これらの炭素原子量を達成するために、組成物中の固形分総重量を100重量%として、通常約3重量%〜約15重量%、より好ましくは約5重量%〜約10重量%のポリマーを組成物に含める。
好ましい溶剤系には、プロピレングリコールメチルエーテルアセテート(「PGMEA」)、プロピレングリコールメチルエーテル(「PGME」)、乳酸エチル(「EL])およびこれらの混合物からなる群の中から選択される溶剤が含まれる。溶剤系は、約50℃〜約250℃、より好ましくは約150℃〜約200℃の沸点を有すればよく、組成物の総重量を100重量%として、約60重量%〜約99重量%、好ましくは約75重量%〜約95重量%、さらに好ましくは約85重量%〜約92重量% のレベルで使用すればよい。従って、組成物中の固形分パーセントは、組成物の総重量を100重量%として、約1重量%〜約40重量%、好ましくは約5重量%〜約25重量%、より好ましくは約8重量%〜約15重量%である。
組成物に結合破壊剤を加えて結合の度合いを制御してもよい。この添加剤は、硬化プロセスの間に溶液中で結合対の一方に結合することで作用するため、結合対の他方との架橋が妨げられる。組成物に添加する結合破壊剤の量を変えることで、硬化した組成物の現像速度を容易に調整することができる。結合破壊剤を使用する場合、結合破壊剤は、組成物中の固形分総重量を100重量%として、約0.5重量%〜約12重量%、好ましくは約1重量%〜約7重量%のレベルで組成物中に存在すればよい。好ましい結合破壊剤は、系の中で結合対の一方に結合対の他方よりも強く結合する種類のものである。このような結合破壊剤は、フッ素化アルコール(例えばヘキサフルオロイソプロパノール、トリフルオロエタノール)やスルホンアミド等のプロトン供与体(proton donating species)であり得る。好ましいプロトン供与体としては、−COOH、鉱酸、スルホン酸等の酸も含まれる。特に好ましい酸は、p−トルエンスルホン酸(「pTSA」)、メタンスルホン酸(「MSA」)、ショウノウスルホン酸(「CSA」)およびこれらの混合物からなる群の中から選択される。
結合を破壊する化合物は、プロトン受容体(proton accepting species)であってもよく、プロトン受容体は、結合破壊剤として使用することができ、また脱保護プロセス後に対の一方に結合する。好ましいプロトン受容体には塩基が含まれる。結合破壊剤として使用するのに適した塩基としては、アミン(例えばTMAH、トリエチルアミン、ピリジン、イミダゾール、ピペリジン)からなる群の中から選択される塩基が含まれる。
当然、他の幾つかの任意成分を組成物に含めてもよい。通常の任意成分としては、界面活性剤、触媒、および接着促進剤が挙げられる。
本発明の方法は、(例えばスピンコーティングにより)組成物を基板に塗布して基板表面にこの組成物の層を形成することからなる。使用する基板が、凹凸(topography)(例えばコンタクトホール、ビアホール、隆起部および溝)を含んでいることが好ましい。この凹凸は基板表面に直接含めてもよいし、基板表面上に形成された、1つ以上の他の材料層に含めてもよい。基板はマイクロエレクトロニクス基板であり得る。好ましい基板には、ケイ素、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、亜硝酸タンタル、シリコンゲルマニウム、二酸化ケイ素、窒化ケイ素、酸化アルミニウム、ガラス、石英および低k誘電体からなる群の中から選択されるものが含まれる。低k誘電体層は基板上に直接形成してもよいし、基板上に最初に形成された他の層(例えばゲート層、コンタクト層、エッチング停止層)の上に形成してもよい。誘電体層および他の層は従来の方法で形成する。
本組成物は、最終用途によっては任意の数の(at any number of)平均厚さで基板に塗布することができるが、通常は最初に約0.3nm〜約3000nmの厚さに塗布する。基板表面を実質的に平坦化するのに十分な量の組成物を基板に塗布することが好ましい。次いで、組成物層を焼成して、非共有結合により架橋した(即ち硬化した)層を形成する。焼成温度および焼成時間は、脱保護の程度、およびその後の結合基の非共有結合による架橋に、従って最終的に組成物を現像液と接触させた後に残る膜の量に影響を及ぼす。焼成後に少なくとも約75%、好ましくは約75%〜約90%、より好ましくは約90%〜約100%完全に脱保護することが好ましい。これは一般に、約130℃〜約300℃、好ましくは約150℃〜約225℃の温度で約30秒〜約120秒間、より好ましくは約45秒〜約90秒間加熱することにより行われる。脱保護度はFTIRにより測定することが好ましい。非共有結合架橋層の平均厚さは通常約0.1nm〜約2000nmであり、好ましくは約20nm〜約500nmである。
好ましい実施形態では、非共有結合架橋層は、式:
(式中、nは0〜4であり、各Rは個々に、(好ましくはC−C、より好ましくはC−Cの)アルキル、ハロゲン、−OH、および多官能性フェノールからなる群の中から選択され、各Rは個々に−O−および−N−からなる群の中から選択される)で表される。
好適には、非共有結合架橋層は乳酸エチル、PGMEまたはPGMEA等の代表的なフォトレジスト溶剤に実質的に不溶である。従って、剥離試験を行うと、非共有結合架橋層の剥離率は約5%未満、好ましくは約1%未満、さらに好ましくは約0%である。剥離試験は、最初に(異なる5カ所の測定値の平均を出すことで)非共有結合架橋層の厚さを求めることを含む。これが初期平均膜厚である。次に、フォトレジスト溶剤を硬化した膜に約20秒間パドリングし(puddled)、約2500rpmで約30秒間脱水して溶剤を除去する。偏光解析法を用いてウエハの異なる5カ所で再度厚さを測定し、これらの測定値の平均を求める。これが最終平均膜厚である。
剥離量は、初期平均膜厚と最終平均膜厚との差である。剥離率は、式:
剥離率(%)=(剥離量/初期平均膜厚) X 100
で表される。
非共有結合架橋層はフォトレジスト溶剤には実質的に溶解しないが、好ましい実施形態によれば、フォトレジスト現像液には実質的に溶解する。即ち、硬化した層は湿式現像可能であり、このことは、水酸化テトラメチルアンモニウム等の従来の水性現像液で組成物を除去できることを意味する(スキームB)。
従って、本発明方法の一態様によれば、層の少なくとも一部(凹部)を除去するように現像液を硬化した層にパドリングする。(例えば回転させて)硬化した層から現像液を除去し、最終用途により必要とあれば層構造体をさらに処理する。さらに詳しく言えば、現像液を層にパドリングし、好ましくは約30秒〜約90秒間、より好ましくは約45秒〜約80秒間、さらに好ましくは約55秒〜約65秒間層と接触させる。次いで、好ましくは水洗して、約1500rpm〜約2500rpmの速度で約20秒〜約40秒間回転させて、現像液を基板から除去する。現像液は、組成物を「脱架橋」して現像液に溶けるようにする塩基を有する、市販の水性現像液であることが好ましい。特に好ましい現像液には、(先に示した)水酸化テトラメチルアンモニウム、水酸化カリウム、水酸化ナトリウムおよびこれらの混合物からなる群の中から選択されるものが含まれる。
現像液と接触させる前の層の厚さを現像液と接触させた後の層の厚さと比較すると、現像液と接触させることで、少なくとも層の一部が除去されるかまたは凹むことが分かる。従って、レジスト現像アナライザーで測定すると、現像液との接触により、好ましくは現像液との接触1秒当たり約1オングストローム〜約500オングストローム厚さの割合で(即ち現像速度で)、より好ましくは現像液との接触1秒当たり約10オングストローム〜約300オングストローム厚さの割合で、さらに好ましくは現像液との接触1秒当たり約20オングストローム〜約200オングストローム厚さの割合で組成物が除去されるまたは組成物に凹みができる。凹部の深さ(amount)は、用途によってビアホールの上縁部の位置まであってもよいし、それより上方まででも下方まであってもよい。ビアホール上縁部より上方の地点まで材料に凹部が形成されると、残りの膜は、反射が最小の第1または第2の厚みで(at its first or second reflective minimum thickness)反射防止層として使用することができる。ビアホールの上縁部またはその下方まで材料に凹みができると、この材料はギャップ充填材料として作用するだけで、他の反射防止層を塗布して基板からの光反射を抑制することが好ましい。材料を凹ませてビアホールに部分充填することが好適である。何故ならば、エッチングしなければならない底部反射防止膜が少ないからである。
ビアホールでエッチングしなければならない材料を最小限にすると、溝をエッチングした後のフェンスやクラウンの形成が減少する。フェンスやクラウンは、ビアホールに充填された底部反射防止膜と層間絶縁膜との間のエッチング選択性が低いために溝をエッチングした後に残る底部反射防止膜または絶縁膜の残留物である。
部分充填用途(即ち穴深さの約35%〜約65%に組成物を充填する場合)および完全充填用途(即ち穴深さの少なくとも約95%、好ましくは約100%に組成物を充填する場合)の両方で本発明の方法を使用することができる。
さらに、当然のことながら、本発明の方法を用いて、以前は得られなかった特性を有するデュアルダマシン構造体を形成することができる。例えば、本発明の方法で製造した構造体は、非常に薄い組成物層であっても、バイアス(biases)が低い。特に、本発明の方法では、平均膜厚が約200nm未満の場合でも、層のバイアスが約20nm未満、好ましくは約10nm未満、より好ましくは約0nm〜約5nmとなる。
本明細書で使用する層の平均膜厚(ビアホール測定の場合は除く)は、エリプソメーターで測定して調べる。測定はウエハ全体(または本明細書で規定した他の領域)で3度繰り返し、測定値を平均化して、層の平均膜厚を求める。ビアホールのために厚さを求める場合SEM撮影画像化プログラム(画像J)を使用する。SEMの尺度図を用いて測定値を校正し、(孤立したまたは密集した)各領域での3回の測定値を平均化する。
密集した領域(dense region)での層の平均膜厚を、孤立した領域(isolated region)での同じ層の平均膜厚から引いてビアホールを求める。密集した領域は、表面積の少なくとも約50%がビアホールで満たされている基板部分と定義され、孤立した領域は、表面積の約20%未満がビアホールで満たされている基板部分と定義される。
次いで、凹みのある組成物(または中間層、例えば凹みのある組成物に塗布された反射防止膜、もしくは組成物をスピンオンカーボンとして使用する場合はこの組成物上に塗布されたハードマスク)にフォトレジスト層を塗布することができ、フォトレジスト層は従来の方法(例えば所望波長の活性化放射線に露光、露光したフォトレジストを現像、パターンのエッチング転写)によりパターン化する。本発明の方法は特にビアファースト法に最適なので、このパターニングは通常、所望の溝をパターン化した後に、(例えばプラズマエッチング)によりこれらの溝を基板内にエッチングすることを含む。この方法は勿論従来技術に比べて特に有利である。何故ならば、この方法は銅含有回路に適合し、通常、銅エッチングを必要とする方法で直面する問題は回避されるからである。
本発明の組成物はまた、三層積層体でスピンオンカーボン層として使用するのに適している。基板への塗布後、組成物が誘電体表面まで凹むことはない。むしろ、組成物層は基板表面に留まり、ビアホールを覆う。次いで、無機ハードマスクを組成物に塗布し、次いでフォトレジストを塗布する。本発明の組成物をハードマスクまたはパターン転写層として用いて、パターンを基板に転写することもできる。この実施形態では、フォトレジストを塗布する前に、標準的な有機または無機反射防止膜をハードマスクに塗布することが好ましい。
実施形態の如何を問わず、本発明で形成された非共有結合架橋層は、約1.2〜約2.0、好ましくは約1.5〜約1.9、さらに好ましくは約1.78のn値(即ち複素屈折率の実成分)と、約0〜約1、好ましくは約0.1〜約0.9、さらに好ましくは約0.85のk値(即ち複素屈折率の虚数成分)を有することが好ましい。
最後に、組成物がギャップ充填用組成物でない実施形態では、これらの層は基板(例えば誘電体)のエッチング速度と同一またはそれを下回る速度でエッチングされることが好ましい。即ち、CF等のエッチング液を使用する場合、本発明の組成物のエッチング速度に対する基板エッチング速度の比率は約1〜約5、より好ましくは約1〜約4である。組成物はまた、Siハードマスクよりも速くエッチングされることが好ましい。従って、Oをエッチング液として使用する場合、Siハードマスクのエッチング速度に対する本発明組成物のエッチング速度の比率は、少なくとも約5、好ましくは少なくとも約10である。
本発明は、実施形態の如何を問わず、エッチング法を用いてパターンを基板に転写した後にこれらの組成物を基板構造(即ちビアホール、溝)から容易に除去できるという利点を提供する。従って、酸素アッシング法を必要とする従来技術の組成物とは異なり、本発明の組成物は新規な湿式除去(wet clean−out)法を用いて除去することができる。本発明の方法によれば、ウエハを単に通常の水性現像液または化学剥離剤、例えばACT(登録商標)EZSTRIPTM601リムーバー(Air Products社製、メーカー推奨の時間および温度を使用)に浸漬し、次いで溶剤で洗浄する。次いで、ウエハを100℃で60秒間焼成して、基板表面から残留溶剤を除去する。好適には、残留する材料は、構造体から実質的に完全に除去される。好ましい化学剥離剤は、中程度の強度を有し、低k誘電体材料と一緒に使用しても安全である。好適な洗浄用溶剤には、乳酸エチル、PGME、PGMEA、およびこれらの混合物が含まれる。
以下の実施例で本発明の好ましい方法を説明する。しかしながら、これらの実施例は例示するためのものであり、本発明の全範囲において限定するものではない。
(実施例1)
現像用組成物の調製
モノマー比率の変更による速度制御
1. コポリマーの調製
4−ビニルピリジン(Sigma−Aldrich Co.社製「4−VPY」)、tert−ブチル 4−ビニルフェニルカーボネート(DuPont社製「TBSM」)、プロピレングリコールモノメチルエーテル(Harcross Corp.社製「PGME」)、および2,2’−アゾビス(2−メチルプロピオニトリル)ラジカル開始剤(Sigma−Aldrich Co.社製「AIBN」)を100ml丸底フラスコに添加してポリマー母液を調製した。フラスコを密封し、窒素パージし、TBSMとAIBNが溶解するまで混合物を攪拌した。
モノマー濃度を変えて、5種類の異なるポリマー母液を調製した。各母液の成分を以下の表1に示す。
試薬を溶解した後、混合物をシリコーン油浴中で加熱し、60℃で16時間反応させた。16時間後、ポリマー溶液(スキームC)を室温まで冷却して、瓶に詰めた。
2. 組成物の調製
次いで、先に調製した各ポリマー母液を使用して組成物を調製した。各ポリマー溶液を、以下の表2に示す量のプロピレングリコールn−プロピルエーテル(「PnP」)およびp−トルエンスルホン酸(「pTSA」)と配合して組成物を調製した。上記成分を攪拌し、0.1μmエンドポイントフィルターで濾過して、瓶に詰めた。各組成物は、各配合物の調製に使用した各ポリマー母液を除いて同じ配合であった。
3. 組成物の試験
次いで、各組成物の耐溶剤性(剥離、オングストローム)および膜現像速度を調べた。各試験では、本発明の組成物を100mmのシリコンウエハ上に1500rpmで60秒間スピンコートした。次いで、接触型ホットプレートを用いてウエハを205℃で60秒間焼成して、組成物の脱保護および非共有結合による架橋を促した(スキームD)。
エリプソメーターを用いて、得られた膜の厚さを測定した。次いで、通常のレジスト溶剤(即ち乳酸エチル)を20秒間膜に塗布し、その後2500rpmで30秒間脱水して耐溶剤性試験を行った。
次いで、レジスト現像アナライザー(リソテックジャパン(株)製RDAモデル790)を用いて、膜現像速度を測定した。試験のために、シリコンウエハを各組成物で再度被覆し、上述したように焼成して、非共有結合による架橋を促した。次いで、各ウエハを標準的な塩基現像液である水酸化テトラメチルアンモニウム(「TMAH」)に浸漬し、アナライザーで膜除去速度を測定した。ポリマー母液に基づいて特定される各組成物の現像速度を以下の表3に示す。
この試験の後にウエハを調べると、残留物がないことが分かり、このことは現像液により様々な配合物が完全に除去されたことを示している。従って、組成物は耐溶剤性を有すると同時に、レジスト現像液に溶解した。
(実施例2)
結合破壊剤の添加による、現像速度制御用組成物の調製
1. 組成物の調製
本実施例では、上記実施例1のポリマー母液1Cを使用して、本発明の組成物を調製した。結合破壊剤としての酸の量を増やしながら、ポリマー母液とPnPとを配合して様々な配合物を調製した。各配合物の成分および量を以下の表4に示す。組成物の全成分の総重量を100重量%とし、これを重量比の基準とした。pTSA、メタンスルホン酸(Sigma−Aldrich Co.社製「MSA」)およびショウノウスルホン酸(Sigma−Aldrich Co.社製「CSA」)という3種類の異なる酸(即ち結合破壊剤)を用いて5種類の配合物の各々を3回調製し、計15種類の組成物を得た。
次いで、上記実施例1で説明したのと同じ方法を用いて、各組成物の耐溶剤性および膜現像速度を調べた。耐溶剤性試験の結果を以下の表に示す。
各配合物の膜現像速度を以下の表6に示す。
(実施例3)
ギャップ充填用組成物の調製
1. コポリマーの調製
ポリマー母液を調製するために、14.01gの4−VPYと、29.28gのTBSMと、105gのPGMEと、1.75gのAIBNとを500ml丸底フラスコに添加した。フラスコを密封し、窒素パージし、TBSMとAIBNが溶解するまで混合物を攪拌した。次いで、混合物をシリコーン油浴中で加熱し、75℃で16時間反応させた。16時間後、ポリマー溶液を室温まで冷却して、瓶に詰めた。溶液の固形分は30%であった。
2. 組成物の調製
先に調製したポリマー溶液6.40g(1.92gのポリマー固体と4.48gのPGME)を、7.53gのPGME、6.00gのプロピレングリコールメチルエーテルアセテート(PGMEA)、および0.078gのpTSAと混合してギャップ充填用組成物を調製した。組成物混合物の総重量は20.01gであり、固形分は10%であった。
3. 組成物によるギャップ充填
寸法が0.13μm〜0.3μmのビアホールを有するウエハ基板に1500rpmで60秒間組成物をスピンコートした。次いで、被覆したウエハを接触型ホットプレート上において205℃で60秒間焼成し、組成物の脱保護および非共有結合による架橋を促した。
基板上の膜の厚さは、基板表面上に存在する凹凸(ビアホールの寸法および形状)によって150nm〜390nmであった。被覆したウエハの一つを用いて、乳酸エチル中で剥離試験を行った。初期膜厚は390nmであり、剥離後の膜厚は389nm(0.26%減)であった。次いで、被覆したウエハの幾つかを断面化し、SEMを用いて撮像した。
残りの被覆したウエハを現像液と接触させた。標準の0.26N TMAH現像液を、被覆したウエハの架橋層に60〜100秒間パドリングした。次いで、ウエハを脱イオン(DI)水にて500rpmで5秒間洗浄した後、2500rpmで60秒間脱水し、100℃で10秒間焼成して、基板表面に残留する水を除去した。現像したウエハを断面化し、SEMを用いて撮像した。これらの画像を、本発明の充填用組成物で被覆した未現像のウエハの断面と比較した。
図1は、現像プロセス前後の、被膜を形成したウエハを示す。撮像したウエハ基板は、直径0.22μm、深さ1.0μmのビアホールを有していた。図1(a)は、本発明の組成物で被覆し、205℃で60秒間焼成したが、未現像のウエハを示す。図1(a)から分かるように、ビアホールは、組成物を基板上にスピンコートし、焼成した後に完全に平坦化された。図1(b)は、上述したように被覆し、205℃で60秒間焼成した後、60秒間現像したウエハの横断面を示す。図1(b)から分かるように、膜組成物には、ビアホール面に届く凹部が湿式で形成され、ビアホールは完全充填されている。図1(c)は、205℃で60秒間焼成し、次いで100秒間現像したウエハの横断面を示す。図1(c)から分かるように、膜組成物に湿式で形成された凹部はビアホール上縁部より下方に達し、ビアホールは部分充填されている。
(実施例4)
溝充填への適用
幅が120nm〜500nmのパターン化した溝を含むウエハ基板に、実施例3の組成物を1500rpmで60秒間スピンコートした。次いで、被覆したウエハを、接触型ホットプレート上にて、205℃で60秒間焼成し、組成物の非共有結合による架橋を促した。これらの未現像のウエハの幾つかを断面化し、SEMを用いて撮像した。
次いで、標準の0.26N TMAH現像液を50秒間膜にパドリングし、2500rpmで60秒間脱水することで、残りのウエハを現像した。ウエハを脱イオン(DI)水にて500rpmで5秒間洗浄し、2500rpmで60秒間脱水し、100℃で10秒間焼成して、基板表面に残留する水を除去した。現像したウエハを断面化し、SEMを用いて撮像した。これらの画像を、本発明の膜組成物で被覆した未現像のウエハの断面と比較した。
未現像のウエハの断面を図2(a)に示し、現像済みウエハの断面を図2(b)に示す。図2(a)から分かるように、組成物を基板上にスピンコートし、焼成した後に基板表面は完全に平坦化された。組成物に湿式で形成された凹部が溝表面に達し、完全充填されたことが、図2(b)から分かる。
(実施例5)
プラズマエッチング後に構造体からギャップ充填組成物を湿式除去
寸法が0.22μm〜0.25μmのビアホールを有するウエハ基板に、実施例3のギャップ充填用組成物を1500rpmで60秒間スピンコートした。次いで、被覆したウエハを、接触型ホットプレート上で60秒間焼成し、組成物の非共有結合による架橋を促した。
組成物を基板上にスピンコートし、焼成した後に、ビアホールが完全に平坦化された。基板上の膜の厚さは、基板表面に存在する凹凸(ビアホールの寸法および形状)により、150nm〜390nmであった。
次いで、標準の0.26N TMAH現像液をウエハの膜に60秒間パドリングした後、2500rpmで60秒間脱水して、膜にビアホール表面に達する凹部を湿式で形成した。次いで、ウエハを脱イオン(DI)水にて500rpmで5秒間洗浄した後、2500rpmで60秒間脱水し、100℃で10秒間焼成して、基板表面に残留する水を除去した。
図3は、湿式除去プロセル中におけるビアホール充填のSEM写真を示す。基板に60秒間のCFプラズマエッチング(図3(a))を施し、次いで5秒間のOプラズマエッチング(図3(b))を施した。エッチング後に、現像液(Moses Lake Industries, Inc.社から購入したPD523AD)を、ビアホールを有する基板に120秒間パドリングして、構造体からギャップ充填用組成物(図3(c))を除去した。プラズマエッチング後に、ギャップ充填用組成物は同じ現像速度を保持していた。
(実施例6)
非架橋性モノマーを有する組成物の調製
1. コポリマーの調製
ポリマー母液を調製するために、1.35gの4−VPYと、2.83gのTBSMと、1.67gのヒドロキシプロピルメタクリレート(Sigma−Aldrich Co.社製「HPMA」)と、24.0gのPGMEと、0.15gのAIBNとを100ml丸底フラスコに添加した。フラスコを密封し、窒素パージし、TBSMとAIBNが溶解するまで混合物を攪拌した。次いで、混合物をシリコーン油浴中で加熱し、75℃で16時間反応させた。16時間後、ポリマー溶液を室温まで冷却して、瓶に詰めた。溶液の固形分は20%であった。
2. 組成物の調製
本発明の組成物を調製するために、先に調製したポリマー溶液10g(2.0gのポリマー固体と8.0gのPGME)を、10gの乳酸エチルと混合した。組成物混合物の総重量は20.0gであり、固形分は10%であった。
3. 試験
組成物の耐溶剤性および膜現像速度を調べた。各試験では、組成物を100mmのシリコンウエハ上に1500rpmで60秒間スピンコートした。接触型ホットプレートを用いて、被膜の形成されたウエハを205℃で60秒間焼成して、組成物の非共有結合による架橋を促した。エリプソメーターを用いて、得られた膜(硬化した層)の厚さを測定した。次いで、PGMEとPGMEAの1:1溶液を20秒間膜に塗布した後2500rpmで30秒間脱水して耐溶剤性試験を行った。その後、エリプソメーターで膜厚を測定して、膜損失を調べた。組成物は耐溶剤性を示し、1nmの剥離のみが観察された。
次いで、レジスト現像アナライザーを用いて、膜現像速度を測定した。シリコンウエハを再度組成物で被覆し、上述したように焼成した。ウエハをTMAHに浸漬し、膜除去速度をアナライザーで測定した。TMAH中での組成物の現像速度は12nm/秒であった。
以下の反応スキームは、ポリマーの調製およびその後誘発される非共有結合による架橋を示している。
(実施例7)
非架橋性モノマーと強力な水素結合受容体を有する組成物の調製
1. コポリマーの調製
ポリマー母液を調製するために、0.94gの4−VPYと、4.4gのTBSMと、2.16gのHPMAと、0.785gのジメチルアミノエチルメタクリレート(Sigma−Aldrich Co.社製「DMAEMA」)と、41.3gのPGMEと、0.41gのAIBNとを100ml丸底フラスコに添加した。フラスコを密封し、窒素パージし、TBSMとAIBNが溶解するまで混合物を攪拌した。次いで、混合物をシリコーン油浴中で加熱し、70℃で18時間反応させた。18時間後、ポリマー溶液を室温まで冷却し、0.1μmエンドポイントフィルターで濾過して、瓶に詰めた。
未希釈のポリマー母液を組成物として使用し、シリコンウエハ上にスピンコートした。
次いで、組成物の耐溶剤性および膜現像速度を調べた。各試験では、組成物を100mmのシリコンウエハ上に1500rpmで60秒間スピンコートした。接触型ホットプレートを用いてウエハを205℃で60秒間焼成して、組成物の非共有結合による架橋を促した。エリプソメーターを用いて、得られた膜の厚さを測定した。
次いで、通常のレジスト溶剤(即ち乳酸エチル)を膜に20秒間塗布し、2500rpmで30秒間脱水して耐溶剤性試験を行った。その後、以下の表に示すように、エリプソメーターで膜厚を測定して、膜損失を調べた。
組成物は、乳酸エチルに対して耐性を示した。
次いで、レジスト現像アナライザーを用いて、膜現像速度を測定した。シリコンウエハを再度組成物で被覆し、上述したように焼成した。ウエハをTMAHに浸漬し、膜除去速度をアナライザーで測定した。組成物の現像速度は19.5nm/秒であった。
以下の反応スキームは、ポリマーの調製およびその後誘発される非共有結合による架橋を示している。
(実施例8)
ビニルイミダゾールを水素結合受容体として有する組成物の調製
1. コポリマーの調製
ポリマー母液を調製するために、1.41gのビニルイミダゾールと、4.4gのTBSMと、1.50gのメチルメタクリレート(Sigma−Aldrich Co.社製「MMA」)と、42.3gのPGMEと、0.41gのAIBNとを100ml丸底フラスコに添加した。フラスコを密封し、窒素パージし、TBSMとAIBNが溶解するまで混合物を攪拌した。次いで、混合物をシリコーン油浴中で加熱し、70℃で22時間反応させた。22時間後、ポリマー溶液を室温まで冷却して、瓶に詰めた。溶液の固形分は15.44%であった。
2. 組成物の調製
先に調製したポリマー溶液7g(1.07gのポリマー固体と5.93gのPGME)を、3gのPGMEAと混合して本発明の組成物を調製した。得られた組成物の総重量は10gであり、固形分は10.7%であった。
3. 組成物の試験
次いで、組成物の耐溶剤性および膜現像速度を調べた。各試験では、組成物を100mmのシリコンウエハ上に1500rpmで60秒間スピンコートした。次いで、接触型ホットプレートを用いてウエハを205℃で60秒間焼成して、組成物の非共有結合による架橋を促した。エリプソメーターを用いて、得られた膜の厚さを測定した。
次いで、通常のレジスト溶剤(即ち乳酸エチル)を膜に20秒間塗布し、2500rpmで30秒間脱水して耐溶剤性試験を行った。その後、以下の表に示すように、エリプソメーターで膜厚を測定して、膜損失を調べた。
組成物は乳酸エチルに耐性を示した。
レジスト現像アナライザーを用いて、膜現像速度を測定した。シリコンウエハを再度組成物で被覆し、上述したように焼成した。ウエハをTMAHに浸漬し、膜除去速度をアナライザーで測定した。組成物の現像速度は7.8nm/秒であった。
以下の反応スキームは、ポリマーの調製およびその後誘発される非共有結合による架橋を示している。
(実施例9)
静電結合を有する組成物の調製
1. コポリマーの調製
ポリマー母液を調製するために、4.75gのtert−ブチルメタクリレートと、5.25gのDMAEMAと、40.0gのPGMEと、0.25gのAIBNとを100ml丸底フラスコに添加した。フラスコを密封し、窒素パージし、AIBNが溶解するまで混合物を攪拌した。次いで、混合物をシリコーン油浴中で加熱し、60℃で16時間反応させた。16時間後、ポリマー溶液を室温まで冷却し、瓶に詰めた。得られたポリマーは以下の構造を有していた。
2. 組成物の調製
先に調製したポリマー溶液5.0g(1.02gのポリマー固体と3.98gのPGME)を5.0gのPGMEおよび0.02gのpTSAと混合して組成物を調製した。
3. 組成物の試験
次いで、組成物の耐溶剤性および膜現像速度を調べた。各試験では、組成物をシリコンウエハ上に1500rpmで60秒間スピンコートし、250℃で60秒間焼成した。エリプソメーターを用いて、得られた膜の厚さを測定した。次いで、通常のレジスト溶剤(即ち乳酸エチル)を膜に20秒間塗布した後、2500rpmで30秒間脱水して耐溶剤性試験を行った。その後、エリプソメーターで膜厚を測定して、膜損失を調べた。
組成物は乳酸エチルにもTMAH現像液にも溶解しなかった。
以下の反応スキームは、誘発された非共有結合による架橋を示す。
(実施例10)
3層リソグラフィー用のスピンオンカーボン層として用いられるギャップ充填用組成物
ウエハ基板に実施例3のギャップ充填用組成物を1500rpmで60秒間スピンコートした。次いで、被膜の形成されたウエハを接触型ホットプレート上において205℃で60秒間焼成して、組成物の非共有結合による架橋を促した。
次いで、(Brewer Science, Inc.社製BSI.M06099Bの商品名で市販されている)ケイ素含有ハードマスク材料を上記層上に1500rpmで60秒間スピンコートし、ホットプレート上において205℃で60秒間焼成して、40nm厚さの層を得た。次いで、ハードマスク上に193nmフォトレジスト(JSR社製ARX3001JN)を120nmコーティングして、3層積層体を完成した。
水を浸漬液として使用し、(Amphibian Systems社製)Amphibian XIS干渉露光ツールを用いて、3層積層体上でフォトリソグラフィーを行った。図4は、45nmの密集したラインがフォトレジストにパターン化されたSEM写真を示す。
(Oxford社製)Plasmalab 80+反応性イオンエッチャーを用いて、さらに相対エッチング速度を調べた。エッチャーの設定値は、出力100W、ガス圧50mTorr、ガス流量50sccmであった。エッチング速度選択度の値を以下の表に示す。
(実施例11)
非共有結合した保護基を有する組成物の調製
1.07gのポリ(ヒドロキシスチレン)(Aldrich社製、Mw=8000)、0.93gのポリ(4−ビニルピリジン)(Aldrich社製、Mw=60000)、7.13gの酢酸、16.65gのPGME、7.21gのEL、および7.13gのメタノールを混合して本発明の組成物を調製した。
次いで、組成物の耐溶剤性を調べた。各試験では、組成物をシリコンウエハ上に1500rpmで60秒間スピンコートし、205℃で60秒間焼成した。エリプソメーターを用いて、得られた膜の厚さを測定した。乳酸エチルを膜に20秒間塗布した後、2500rpmで30秒間脱水して耐溶剤性試験を行った。その後、エリプソメーターで膜厚を測定して、膜損失を調べた。

Claims (30)

  1. 表面を有するマイクロエレクトロニクス基板と、
    溶剤系に溶解または分散したポリマーを含み、該ポリマーが下記モノマーおよびこれらの組み合わせからなる群の中から選択される少なくとも2種の繰り返しモノマーを有する共重合体である組成物から形成された、前記表面に隣接する非共有結合架橋層との組み合わせ:


    (式中、
    nは0〜4であり、
    は保護基であり、
    各Rは個々にアルキル、ハロゲン、−OH、および多官能性フェノールからなる群の中から選択され、
    はH、アルキル、−CN、−Brおよび−Clからなる群の中から選択され、
    は、アルキル、

    からなる群の中から選択される)、ここで、前記モノマーの少なくとも1つが水素供与体であり、残余のモノマーが水素受容体であり、前記ポリマー中の水素供与体と水素受容体とのモル比が1:3〜3:1である。
  2. が、

    (式中、Rはアルキルからなる群の中から選択される)からなる群の中から選択される請求項1に記載の組み合わせ。
  3. 前記繰り返しモノマーが、

    (式中、
    は保護基であり、
    nは0〜4であり、
    各Rは個々にアルキル、ハロゲン、−OH、および多官能性フェノールからなる群の中から選択される)からなる群の中から選択される請求項1または2に記載の組み合わせ。
  4. 前記x:yのモル比が1:3〜3:1である請求項3に記載の組み合わせ。
  5. 前記非共有結合架橋層が、

    (式中、
    nは0〜4であり、
    各Rは個々にアルキル、ハロゲン、−OH、および多官能性フェノールからなる群の中から選択され、
    各Rは個々に請求項1に記載のモノマーに対応して−O−、−N−からなる群の中から選択される)からなる群の中から選択される式で表される架橋ポリマーを含む請求項1に記載の組み合わせ。
  6. ある表面を有する前記基板が複数の凹凸を有し、これらの凹凸が前記基板上に密集した領域および孤立した領域を形成する請求項1〜5のいずれかに記載の組み合わせ。
  7. 前記基板が、ケイ素、アルミニウム、タングステン、ケイ化タングステン、ヒ化ガリウム、ゲルマニウム、タンタル、亜硝酸タンタル、シリコンゲルマニウム、二酸化ケイ素、窒化ケイ素、酸化アルミニウム、ガラス、石英および低k誘電体からなる群の中から選択される請求項1〜6のいずれかに記載の組み合わせ。
  8. 前記層が有機フォトレジスト溶剤に実質的に不溶である請求項1〜7のいずれかに記載の組み合わせ。
  9. 前記層が水性フォトレジスト現像液に実質的に溶解する請求項1〜8のいずれかに記載の組み合わせ。
  10. 前記繰り返しモノマーが、

    (式中、
    はH、アルキル、−CN、−Brおよび−Clからなる群の中から選択され、
    は、アルキル、

    からなる群の中から選択される)からなる群の中から選択され、前記組成物が湿式現像性ではない請求項1に記載の組み合わせ。
  11. 前記組成物がスピンオンカーボン組成物である請求項1〜10のいずれかに記載の組み合わせ。
  12. 前記組成物がハードマスクである請求項1〜11のいずれかに記載の組み合わせ。
  13. マイクロリソグラフィープロセスで使用する構造体を形成する方法であって、
    表面を有するマイクロエレクトロニクス基板を準備し、
    前記表面の少なくとも一部に組成物を塗布すること、および
    前記組成物を焼成して非共有結合架橋層を得ることを含み、
    前記組成物が溶剤系に溶解または分散したポリマーを含み、該ポリマーが下記モノマーおよびこれらの組み合わせからなる群の中から選択される少なくとも2種の繰り返しモノマーを有する共重合体である、方法:

    (式中、
    nは0〜4であり、
    は保護基であり、
    各Rは個々にアルキル、ハロゲン、−OH、および多官能性フェノールからなる群の中から選択され、
    はH、アルキル、−CN、−Brおよび−Clからなる群の中から選択され、
    は、アルキル、

    からなる群の中から選択される)、ここで、前記モノマーの少なくとも1つが水素供与体であり、残余のモノマーが水素受容体であり、前記ポリマー中の水素供与体と水素受容体とのモル比が1:3〜3:1である。
  14. 前記方法がさらに、前記層を現像液と接触させて、前記組成物の少なくとも一部を除去することを含み、前記現像液が、塩基を含む水溶液である請求項13に記載の方法。
  15. 前記基板がさらに、穴を規定する構造体を有し、前記構造体が側壁および底壁を有し、
    前記塗布が、前記穴の側壁および底壁の少なくとも一部に前記組成物を塗布することからなる請求項13または14に記載の方法。
  16. 前記塗布が、前記基板表面を平坦化するのに十分な量の前記組成物を塗布することからなる請求項13〜15のいずれかに記載の方法。
  17. 前記塗布の後に、前記穴の少なくとも95%に前記組成物が充填される請求項15または16に記載の方法。
  18. 穴を規定する前記構造体が、前記基板表面に上方境界を含み、前記接触が、前記上方境界より下方の地点まで前記組成物を除去することからなる請求項15〜17のいずれかに記載の方法。
  19. 前記組成物に層を設けることをさらに含み、前記層が、反射防止膜層およびフォトレジスト層からなる群の中から選択される請求項18に記載の方法。
  20. 前記層がフォトレジスト層であり、さらに
    前記フォトレジスト層にパターンを形成し、
    前記パターンを前記基板に転写する
    ことをさらに含む請求項19に記載の方法。
  21. 前記組成物を、塩基を含む水性現像液と接触させることをさらに含み、前記組成物が前記穴の側壁および底壁から実質的に完全に除去される請求項20に記載の方法。
  22. 前記形成が、前記フォトレジスト層の一部を、活性化放射線に選択的に露光し、前記露光されたフォトレジストを現像することからなり、
    前記転写が、前記パターンを前記基板にエッチングすることからなる請求項20に記載の方法。
  23. 穴を規定する前記構造体が、前記基板表面に上方境界を含み、前記接触が、前記基板表面上および前記上方境界上にある前記組成物の層を維持しつつ、前記組成物の一部を除去することからなる請求項15〜22のいずれかに記載の方法。
  24. ハードマスクを前記組成物層に塗布することをさらに含む請求項23に記載の方法。
  25. フォトレジストを前記ハードマスクに塗布することをさらに含む請求項24に記載の方法。
  26. 前記基板が、密集した領域および孤立した領域を前記基板上に形成する複数の凹凸を含み、
    前記塗布が、前記凹凸の少なくとも一部に組成物を塗布することからなる請求項13〜25のいずれかに記載の方法。
  27. 前記組成物が前記凹凸上にある層の形態であり、0.1nm未満〜2000nmの平均厚さを有する請求項26に記載の方法。
  28. 前記組成物が、前記凹凸上にある層の形態であり、20nm未満のバイアスを有する請求項26または27に記載の方法。
  29. 溶剤系に分散または溶解したポリマーを含む反射防止用または充填用組成物であって、前記ポリマーが、

    (式中、
    nは0〜4であり、
    x:yのモル比は1:3〜3:1であり、
    は保護基であり、
    各Rは個々にアルキル、ハロゲン、−OH、および多官能性フェノールからなる群の中から選択される)
    で表される繰り返しモノマーを含む反射防止用または充填用組成物。
  30. が、

    (式中、Rはアルキルからなる群の中から選択される)からなる群の中から選択される請求項29に記載の組成物。
JP2010520114A 2007-07-30 2008-07-28 フォトリソグラフィープロセス用の非共有結合架橋性材料 Active JP5421258B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US95266707P 2007-07-30 2007-07-30
US60/952,667 2007-07-30
PCT/US2008/071357 WO2009018217A2 (en) 2007-07-30 2008-07-28 Non-covalently crosslinkable materials for photolithography processes

Publications (2)

Publication Number Publication Date
JP2010535360A JP2010535360A (ja) 2010-11-18
JP5421258B2 true JP5421258B2 (ja) 2014-02-19

Family

ID=40305219

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010520114A Active JP5421258B2 (ja) 2007-07-30 2008-07-28 フォトリソグラフィープロセス用の非共有結合架橋性材料

Country Status (7)

Country Link
US (1) US9482951B2 (ja)
EP (1) EP2174189B1 (ja)
JP (1) JP5421258B2 (ja)
KR (1) KR101550471B1 (ja)
CN (1) CN101802711B (ja)
TW (1) TWI435178B (ja)
WO (1) WO2009018217A2 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5746824B2 (ja) * 2009-02-08 2015-07-08 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 上塗りフォトレジストと共に使用するのに好適なコーティング組成物
US8877430B2 (en) 2010-08-05 2014-11-04 Brewer Science Inc. Methods of producing structures using a developer-soluble layer with multilayer technology
US9263314B2 (en) 2010-08-06 2016-02-16 Brewer Science Inc. Multiple bonding layers for thin-wafer handling
JP2014507795A (ja) 2010-12-27 2014-03-27 ブルーワー サイエンス アイ エヌ シー. 高度なパターン形成に必要な小型フィーチャのパターン形成プロセス
WO2013006865A2 (en) 2011-07-07 2013-01-10 Brewer Science Inc. Methods of transferring device wafers or layers between carrier substrates and other surfaces
JP5708422B2 (ja) * 2011-09-30 2015-04-30 Jsr株式会社 液浸用上層膜形成組成物及びレジストパターン形成方法
CN103975418B (zh) * 2011-10-10 2017-03-08 布鲁尔科技公司 用于平版印刷加工的旋涂碳组合物
US9127126B2 (en) 2012-04-30 2015-09-08 Brewer Science Inc. Development of high-viscosity bonding layer through in-situ polymer chain extension
US8961807B2 (en) 2013-03-15 2015-02-24 Cabot Microelectronics Corporation CMP compositions with low solids content and methods related thereto
SG10201912718SA (en) 2015-06-22 2020-02-27 Brewer Science Inc Superplanarizing spin-on carbon materials
US11192101B2 (en) 2018-08-28 2021-12-07 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap filling materials
US11084032B2 (en) 2018-08-28 2021-08-10 International Business Machines Corporation Method to create multilayer microfluidic chips using spin-on carbon as gap fill and spin-on glass tone inversion
US11567408B2 (en) * 2019-10-15 2023-01-31 Rohm And Haas Electronic Materials Korea Ltd. Coating composition for use with an overcoated photoresist
CN115053335A (zh) 2019-10-24 2022-09-13 布鲁尔科技公司 高硅含量可湿法去除平面化层
CN117637446A (zh) * 2024-01-26 2024-03-01 粤芯半导体技术股份有限公司 一种半导体器件制作方法及半导体器件

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1603908A (en) * 1978-05-31 1981-12-02 Kodak Ltd Radiationsensitive materials
DE3322058A1 (de) * 1983-06-18 1984-12-20 Agfa-Gevaert Ag, 5090 Leverkusen Mit metallionen chelatisierbare oder chelatisierte monoazofarbstoffe, deren verwendung zur bilderzeugung, ein farbfotografisches aufzeichnungsmaterial mit farbabspaltern, die die farbstoffe freisetzen, und ein farbbild mit einer bildmaessigen verteilung von nickelkomplexen der farbstoffe
JPS6068056A (ja) * 1983-09-21 1985-04-18 Toyo Soda Mfg Co Ltd イオン交換体
US5100768A (en) * 1989-05-09 1992-03-31 Kabushiki Kaisha Toshiba Photosensitive composition
CA2034274A1 (en) * 1990-02-07 1991-08-08 James A. Bonham Polymers containing halomethyl-1,3,5-triazine moieties
JP2985249B2 (ja) * 1990-07-17 1999-11-29 ソニー株式会社 化学増幅型レジスト
JPH04122942A (ja) * 1990-09-13 1992-04-23 Nippon Zeon Co Ltd 下層平坦化材料
DE69322946T2 (de) * 1992-11-03 1999-08-12 Ibm Photolackzusammensetzung
US5304610A (en) * 1993-01-12 1994-04-19 Hoechst Celanese Corporation Amphoteric copolymer derived from vinylpyridine and acetoxystyrene
JPH07128859A (ja) * 1993-11-04 1995-05-19 Wako Pure Chem Ind Ltd レジスト組成物
JP3503851B2 (ja) * 1995-10-09 2004-03-08 富士写真フイルム株式会社 ポジ型感光性組成物
JP4098923B2 (ja) * 1999-06-16 2008-06-11 富士フイルム株式会社 画像記録材料
KR100337021B1 (ko) * 1999-10-18 2002-05-16 윤덕용 이오노머형 발광 고분자 및 이를 이용한 전기 발광 소자
US6645695B2 (en) * 2000-09-11 2003-11-11 Shipley Company, L.L.C. Photoresist composition
US6500527B2 (en) * 2001-02-01 2002-12-31 3M Innovative Properties Company Image receptor sheet
JP4177967B2 (ja) * 2001-02-06 2008-11-05 富士フイルム株式会社 平版印刷版用原版
US20020150732A1 (en) 2001-02-09 2002-10-17 Manisha Sarkar Image receptor sheet containing vinylpyridine copolymer
JP4554889B2 (ja) * 2001-03-22 2010-09-29 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. フォトレジスト組成物
JP2002341525A (ja) * 2001-05-14 2002-11-27 Fuji Photo Film Co Ltd ポジ型フォトレジスト転写材料およびそれを用いた基板表面の加工方法
JP2003066607A (ja) * 2001-08-30 2003-03-05 Fuji Photo Film Co Ltd 赤外線レーザ用平版印刷版
JP2004211015A (ja) * 2003-01-08 2004-07-29 Nippon Paint Co Ltd 弱溶剤系一液型コーティング組成物およびその製造方法
JP4303044B2 (ja) * 2003-06-23 2009-07-29 Necエレクトロニクス株式会社 化学増幅型レジスト組成物および該化学増幅型レジスト組成物を用いた半導体集積回路装置の製造方法
TWI363251B (en) * 2003-07-30 2012-05-01 Nissan Chemical Ind Ltd Sublayer coating-forming composition for lithography containing compound having protected carboxy group
US7463572B2 (en) * 2003-08-29 2008-12-09 International Business Machines Corporation High density data storage medium
EP1673801B1 (en) * 2003-10-15 2014-04-09 Brewer Science, Inc. Developer-soluble materials and methods of using the same in via-first dual damascene applications
JP2006030477A (ja) * 2004-07-14 2006-02-02 Fuji Photo Film Co Ltd 液浸露光用保護膜形成組成物及びそれを用いたパターン形成方法
US7754414B2 (en) * 2006-07-12 2010-07-13 Az Electronic Materials Usa Corp. Antireflective coating compositions

Also Published As

Publication number Publication date
TWI435178B (zh) 2014-04-21
CN101802711B (zh) 2014-12-03
WO2009018217A2 (en) 2009-02-05
US9482951B2 (en) 2016-11-01
KR101550471B1 (ko) 2015-09-04
EP2174189A4 (en) 2011-01-19
JP2010535360A (ja) 2010-11-18
EP2174189A2 (en) 2010-04-14
WO2009018217A3 (en) 2009-03-26
TW200919093A (en) 2009-05-01
US20090035590A1 (en) 2009-02-05
CN101802711A (zh) 2010-08-11
KR20100050535A (ko) 2010-05-13
EP2174189B1 (en) 2024-02-07

Similar Documents

Publication Publication Date Title
JP5421258B2 (ja) フォトリソグラフィープロセス用の非共有結合架橋性材料
JP5511887B2 (ja) ビニルエーテル架橋剤を用いた反射防止膜
US8642246B2 (en) Compositions, coatings and films for tri-layer patterning applications and methods of preparation thereof
KR102653125B1 (ko) 포토레지스트의 하부막 조성물 및 이를 이용한 패턴 형성 방법
TWI386426B (zh) 具有抗反射特性及高碳含量之聚合物,包含其之硬質罩幕組成物,以及形成圖案化材料層之方法
USRE40920E1 (en) Anti-reflective coatings and dual damascene fill compositions comprising styrene-allyl alcohol copolymers
KR101156488B1 (ko) 하드마스크 층 형성용 조성물 및 이를 사용한 패턴화된 재료 형상의 제조방법
US7745540B2 (en) Gap fill materials and bottom anti-reflective coatings comprising hyperbranched polymers
KR102364550B1 (ko) 하층 반사 방지막 형성 조성물
KR100938445B1 (ko) 갭-필 조성물 및 이를 이용한 반도체 소자의 배선 형성방법
KR100959190B1 (ko) 현상액에 용해 가능한 근자외선 바닥 반사방지막 조성물 및이를 이용한 패턴화된 재료 형성 방법
WO2008075860A1 (en) High etch resistant hardmask composition having antireflective properties, method for forming patterned material layer using the hardmask composition and semiconductor integrated circuit device produced using the method
KR100995080B1 (ko) 레지스트 하층막, 고내에칭성 조성물 및 이를 이용한재료의 패턴화 방법
US7976894B1 (en) Materials with thermally reversible curing mechanism

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101025

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120723

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121204

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130528

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130930

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20131008

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131029

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131121

R150 Certificate of patent or registration of utility model

Ref document number: 5421258

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250