JP4975638B2 - 固体前駆体供給システムにおいて流量を測定する方法およびシステム - Google Patents

固体前駆体供給システムにおいて流量を測定する方法およびシステム Download PDF

Info

Publication number
JP4975638B2
JP4975638B2 JP2007543037A JP2007543037A JP4975638B2 JP 4975638 B2 JP4975638 B2 JP 4975638B2 JP 2007543037 A JP2007543037 A JP 2007543037A JP 2007543037 A JP2007543037 A JP 2007543037A JP 4975638 B2 JP4975638 B2 JP 4975638B2
Authority
JP
Japan
Prior art keywords
gas
metal precursor
precursor
flow
vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007543037A
Other languages
English (en)
Other versions
JP2008522028A (ja
Inventor
ブコヴィッチ,ミルコ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2008522028A publication Critical patent/JP2008522028A/ja
Application granted granted Critical
Publication of JP4975638B2 publication Critical patent/JP4975638B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process

Landscapes

  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明は、薄膜堆積のための方法およびシステムに関し、特に、蒸気圧の低い固体金属前駆体から得られる金属前駆体の気相原料の流量を測定する方法およびシステムに関する。
集積回路の製造のための多層メタライゼーション工程に銅(Cu)を導入する場合には、拡散バリア/ライナを使用して、Cu層の成長と密着性を促進し、Cuが誘電体材料へ拡散するのを防止することが必要となる。誘電体材料上に堆積されるバリア/ライナは、タングステン(W)、モリブデン(Mo)およびタンタル(Ta)等の高融点材料を含んでよい。これらは、Cuに対する非反応性および非混和性を有し、かつ、低い電気抵抗を有する。Cuメタライゼーションと誘電体材料とを統合する今日のインテグレーションの工程においては、約400℃から500℃までの間、またはそれ以下の基板温度におけるバリア/ライナ堆積プロセスが必要とされる。
たとえば、130nm以下の技術ノードのCuインテグレーション工程では、誘電定数の低い(low−k)層間誘電体と、その後に続く物理的堆積(PVD)法によるTa層またはTaN/Ta層と、その後に続くPVD法によるCuシード層と、電解堆積(ECD)法によるCu埋め込みとが利用される。Ta層は一般にその密着性(すなわち、low−k膜への密着性能)から選択され、Ta/TaN層は一般にそのバリア性(すなわち、low−k膜へのCuの拡散の防止性能)から選択される。
上述のように、Cu拡散バリアとしての遷移金属薄層の研究において、または実現に向けて多大な努力がなされてきた。その研究の対象は、クロム、タンタル、モリブデンおよびタングステンである。これらの材料の各々は、Cuへの混和性が低い。従来の高融点金属と同様な挙動が期待されることから、近年、ルテニウム(Ru)やロジウム(Rh)などの他の材料が潜在的なバリア層として挙げられている。Ru又はRhを使用すると、たとえばTa/TaNのような2層とは対照的に、バリア層はただ一層で済む。この所見は、これらの金属の密着性およびバリア性による。たとえば、一つのRu層は、Ta/TaN層に取って代わることができる。さらに、現在の研究成果によれば、一つのRu層はCuシード層に更に取って代わることができ、Cuのバルク埋め込みはRuの堆積に直接に引き続いて実施され得ることがわかった。この所見は、Cu層とRu層との間の密着性が良いためである。
米国特許出願公開第2006/0115590号明細書
従来、Ru層は、熱化学的気相堆積(TCVD)法において、ルテニウムカルボニル前駆体などのルテニウムを含む前駆体を熱分解することにより形成されている。ルテニウムカルボニル前駆体(たとえば、Ru(CO)12)の熱分解により堆積されたRu層の材料的性質は、基板温度が約400℃より低くなると劣化する。その結果、堆積温度が低いと、Ru層の(電気)抵抗が増加し、表面モフォロジーが悪化(たとえば、ノジュールの発生)する。これは、熱堆積されたRu層へ混入する反応副生成物が増加することが原因と考えられている。これらの結果は、ルテニウムカルボニル前駆体の熱分解から生じる一酸化炭素の離脱速度が、約400℃より低い基板温度では、低下することにより説明される。
また、ルテニウムカルボニル又はレニウムカルボニルなどの金属カルボニルを使用する場合、これらの蒸気圧が低くいため堆積速度が低くなり、また、これらに関連した輸送の問題が生じる。一般に、発明者らは、現在の堆積システムには、堆積速度が低いという問題があり、そのため、そのような金属膜が非実用的であることを理解している。
さらに、上述の金属カルボニルのいくつか(すなわち、ルテニウムカルボニルおよびレニウムカルボニル)のような固体の金属前駆体を使用する場合には、固体の金属前駆体が昇華して発生する金属前駆体の気相原料の質量流量を直接に測定するのは適切ではない。このため、一枚の基板から次の基板へのプロセス動作が一貫しない事態が生じる。さらに、流量の測定は、これらの材料の蒸気圧は蒸発のために利用される温度では非常に低い(たとえば、10mTorr(1.33Pa)未満)ため、更に複雑になる。
本発明の一の実施形態では、金属前駆体の気相原料の流量に関するフローパラメータを測定するための方法およびシステムが提供される。
本発明の別の実施形態では、固体の金属前駆体が昇華した後の金属前駆体の気相原料に関するフローパラメータを測定するための方法およびシステムが提供される。
本発明の更に別の実施形態では、差圧測定システムを用いる、金属前駆体の気相原料の流量に関するフローパラメータを測定するための方法およびシステムが提供される。この差圧測定システムは、蒸発システムからプロセスチャンバへ金属前駆体の気相原料を輸送するよう構成される気相原料供給システム内の圧力と、基準システム内の圧力との差を測定する。
更に別の実施形態によれば、基板に高融点金属層を形成するための堆積システムが提供される。この堆積システムは、基板を支持し加熱するよう構成される基板ホルダと、基板の上方に金属前駆体の気相原料を導入するよう構成される気相原料分散システムと、プロセスチャンバを排気するよう構成されるポンプシステムとを有するプロセスチャンバ;金属前駆体を蒸発させ、キャリアガスの第1のフローが金属前駆体蒸発システムと接合されるキャリアガス供給システムから金属前駆体蒸発システムへ供給されてキャリアガスで金属前駆体の気相原料を輸送するよう構成される金属前駆体蒸発システム;金属前駆体蒸発システムの出口に接続される第1の端とプロセスチャンバの気相原料分散システムの入口に接続される第2の端とを有する気相原料供給システム;および、気相原料供給システムと接続され、キャリアガスで輸送される金属前駆体の気相原料の量に関するフローパラメータを測定するよう構成されるフロー測定システム;を備える。フロー測定システムは、気相原料供給システムを通るキャリアガスの第1のフローと基準ガスラインを通る基準ガスの第2のフローとの間の圧力差を測定し、且つ、気相原料供給システムおよび基準ガスラインの少なくとも一方における絶対圧を測定することからフローパラメータを決定するよう構成される。
また別の実施形態によれば、基板に高融点金属膜を堆積するよう構成される堆積システムを監視する方法が提供される。この方法は、気相原料供給システムを通して金属前駆体を輸送するためのキャリアガスを、金属前駆体蒸発システムをプロセスチャンバへ結合する気相原料供給システムを通して、所望のフローとして流し始める工程;差圧測定システムを介して気相原料供給システムへ結合される基準ガスラインを通して、基準ガスの基準フローを流し始める工程;基準ガスの基準フローを差圧測定システムを用いて測定する第1の差圧が目標値に達するまで調整する工程;金属前駆体の気相原料をキャリアガスの上記の所望のフローに対して金属前駆体の気相原料を導入する工程;基準ガスと気相原料恒久システムとの間の第1の差圧を測定する工程;気相原料供給システムまたは基準ガスラインの少なくとも一方の絶対圧を測定する工程;および、第1の差圧と、第2の差圧と、絶対圧と、キャリアガスの所望のフローの流量とを用いて金属前駆体の気相原料の流量に関するフローパラメータを決定する工程を備える。
以下の記載は、本発明の十分な理解を促進するための説明用のものであり、本発明を限定するものではなく、堆積システムの特定の形状や各種構成部品の記載など特定の詳細を示したものである。ただし、本発明は、これらの特定の細部とは異なる他の実施例で実施しても良いことを理解する必要がある。
以下、図面を参照すると、いくつかの図を通して、同一のまたは対応する部品には、同様の参照符号が付されている。図1は、ルテニウム(Ru)またはレニウム(Re)などの金属層を基板に堆積するための一の実施形態による堆積システム1を示す。堆積システム1は、基板25を支持するよう構成された基板ホルダ20を有するプロセスチャンバ10を備える。基板25の上に金属層が形成される。プロセスチャンバ10は、気相前駆体供給システム40を介して金属前駆体蒸発システム50と結合されている。
プロセスチャンバ10はダクト36を介して真空ポンプシステム38と更に結合されている。真空ポンプシステム38は、基板25上に金属層を形成するのに適し、金属前駆体蒸発システム50における金属前駆体52の蒸発に適する圧力にまで、プロセスチャンバ10と、気相前駆体供給システム40と、金属前駆体蒸発システム50とを排気するよう構成されている。
図1を参照すると、金属前駆体蒸発システム50は、金属前駆体52を貯蔵し、金属前駆体52を蒸発させ金属前駆体の気相原料を気相前駆体供給システム40に導入するに十分な温度まで金属前駆体52を加熱するよう構成される。金属前駆体蒸発システム50は、気相前駆体供給システム40へ気相状態の金属前駆体を導入する。金属前駆体52は、たとえば、固体の金属前駆体であってよい。また、たとえば、金属前駆体52は金属カルボニルを含むことができる。たとえば、金属カルボニルには、ルテニウムカルボニル(Ru(CO)12)またはレニウムカルボニル(Re(CO)10)が含まれる。また、たとえば、W(CO)、Mo(CO)、Co(CO)、Rh(CO)12、Cr(CO)、またはOs(CO)12が含まれてよい。
金属前駆体52を蒸発(固体の金属前駆体を昇華)するための所望の温度を達成するため、金属前駆体蒸発システム50は、蒸発温度を制御するよう構成される蒸発温度制御システム54と結合される。たとえば、従来のシステムでは、金属前駆体52の温度は、ルテニウムカルボニルを昇華させるため、一般に約40℃から45℃までとされる。この温度では、ルテニウムカルボニルの蒸気圧は、たとえば、約1mTorr(0.133Pa)から約3mTorr(0.400Pa)までの範囲にある。金属前駆体が加熱されて蒸発(昇華)するとき、金属前駆体の上を、金属前駆体のそばを、もしくは金属前駆体の中を通って、または、これらの如何なる組み合わせかで、キャリアガスが流れる。キャリアガスは、希ガス(すなわち、He、Ne、Ar、Kr、Xe)などの不活性ガス、もしくは、金属カルボニルとともに使用するため例えば一酸化炭素(CO)などの一酸化物、またはその混合物、を含んでよい。たとえば、金属前駆体蒸発システム50にはキャリアガス供給システム60が結合され、これは、たとえば、キャリアガスを、供給ライン61を介して金属前駆体52の下に、または供給ライン62を介して金属前駆体52の上に供給するように構成される。他の例では、キャリアガス供給システム60は、供給ライン63を介して気相前駆体供給システム40と結合され、キャリアガスが気相前駆体供給システム40に流入する際または流入した後に、キャリアガスを金属前駆体の気相原料に供給するように構成される。図示していないが、キャリアガス供給システム60は、ガス源と、1又は2以上の制御バルブと、1又は2以上のフィルタと、マスフロコントローラとを備えることができる。たとえば、キャリアガスの流量は、約5sccm(標準立方センチメータ毎分)から約1000sccmまでの範囲とすることができる。たとえば、キャリアガスの流量は、10sccmから約200sccmまでの範囲にあってよい。更なる例として、キャリアガスの流量は、約20sccmから約100sccmまでの範囲にあってよい。
金属前駆体蒸発システム50よりも下流側では、金属前駆体の気相原料はキャリアガスとともに流れ、気相前駆体供給システム40を通って、プロセスチャンバ10に結合される気相原料分散システム30へ流入する。気相原料ラインの温度を制御して金属前駆体の気相原料が凝結したり分解したりするのを防止するため、気相前駆体供給システム40を気相原料ライン温度制御システム42と結合してよい。たとえば、気相原料ラインの温度は、蒸発温度とほぼ等しいか、または高い値に設定するとよい。また、たとえば、気相前駆体供給システム40は、約50リットル/秒を超える高いコンダクタンスにより特徴づけることができる。
再び図1を参照すると、気相原料分散システム30は、プロセスチャンバ10と結合され、気相原料分散プレナム32を構成する。気相原料分散プレナム32内において気相原料は分散し、気相原料分散板34を通過して、基板25の上方のプロセスゾーン33に流入する。また、気相原料分散板34を、気相原料分散板34の温度を制御するよう構成される分散板温度制御システム35と結合してよい。
金属前駆体の気相原料がプロセスゾーン33へ流入すると、その金属前駆体の気相原料は、基板表面に吸着し、基板25の温度が上昇しているため熱分解する。そして、基板25上に薄膜が形成される。基板ホルダ20が基板温度制御システム22と結合されているため、基板ホルダ20は、基板25の温度を上昇するよう構成される。たとえば、基板25の温度が約500℃まで上昇するよう基板温度制御システム22を構成してよい。一の実施形態では、基板温度は、約100℃から約500℃までの範囲にあってよい。他の実施形態では、基板温度は、約300℃から約400℃までの範囲にあってもよい。また、プロセスチャンバ10を、チャンバ壁の温度を制御するよう構成されるチャンバ温度制御システム12と結合してよい。
上述のとおり、たとえば、従来のシステムにおいては、金属気相原料の分解と凝結とを制限するため、ルテニウムカルボニルの場合であれば約40℃から約45℃までの温度範囲にて、気相前駆体供給システム40と同様に金属前駆体蒸発システム50も動作するものと考えられていた。たとえば、ルテニウムカルボニル前駆体は、温度を上げると、下記のように分解し、副生成物を生成する。
Ru(CO)12*(ad)⇔ Ru(CO)*(ad)+(12−x)CO(g) (1)
または、
Ru(CO)*(ad)⇔ 3Ru(s)+xCO(g) (2)
ここで、これらの副生成物は、堆積システム1の内表面上に吸着(ad)、すなわち凝結する。その表面上に金属が堆積すると、基板間のプロセス再現性などの問題が生じる。また、たとえば、ルテニウムカルボニル前駆体は低温下で凝結し、再結晶化が生じる。すなわち、
Ru(CO)12(g)⇔ Ru(CO)*(ad) (3)
しかし、プロセスウィンドウが狭いシステムでは、ルテニウムカルボニルの蒸気圧が低いこともあって、金属層の堆積速度は低くなる。たとえば、堆積速度は約1Å/分(0.1nm/分)でしかない。したがって、一の実施形態では、蒸発温度を約40℃以上に上げるか、または約50℃以上に上げる。本願の実施形態においては、好ましくは、蒸発温度を約60℃以上に上げる。また別の実施形態では、好ましくは、約60℃から100℃、たとえば約60℃から90℃までの範囲に上げる。蒸発温度を上げると、蒸気圧が高くなる(たとえば約1桁高くなる)ため、蒸発量が増え、よって、堆積速度が上がると発明者らは考えている。しかし、1枚か数枚の基板に堆積した後、周期的に堆積システム1を清浄するのが望ましい。詳細については、たとえば、「堆積システムのIn−situ清浄化を実施する方法およびシステム」という名称の本願と同日に出願した同時係属の米国特許出願第10/998,394号から得ることができる。この出願の内容のすべてをここに援用する。
上述のとおり、堆積速度は、蒸発し、分解も凝結も又これらのいずれも生じる前に基板に輸送される金属前駆体の量に比例する。したがって、所望の堆積速度を実現し、1枚の基板から次の基板の間での一貫したプロセス性能(すなわち、堆積速度、膜厚、膜の均一性,膜のモフォロジーなど)を維持するため、金属前駆体の気相原料の流量を監視し、調整し、また制御する能力を提供することは重要である。従来のシステムでは、蒸発温度、および、予め求めた蒸発温度と流量の関係とを用いて、作業者が間接的に金属前駆体の気相原料の流量を決めている。しかし、プロセスも性能も時間とともに推移するため、流量のより正確な測定を欠くことはできない。
図1を更に参照すると、一の実施形態による、金属前駆体の気相原料を測定するための流量測定システム90が図示されている。不活性ガス源などのガス源92からの基準ガスの流れを規定する基準ガスライン91が、差圧測定システム96を介して気相前駆体供給システム40と結合されている。基準ガスライン91は、さらに、基準ガスマスフロコントローラ94とフロー制限器95とを含むことができる。基準ガスマスフロコントローラ94は、基準ガスライン91を流れる基準ガスの流量を測定し制御するよう構成される。フロー制限器95は、基準ガスライン91と気相供給システム40との間での差圧測定を行うに適した基準圧を実現しつつ、基準ガスの流量が測定可能な範囲で十分に低くなるように流れを十分に制限するよう構成される。
たとえば、ガス源92は、希ガス(すなわち、アルゴンなど)やチッ素のような希ガス、または他のガスを流すよう構成される独立したガス源を含んでよい。また、ガス源92は、上述のキャリアガス供給システム60(図1)を含んでもよい。さらに、ベント93は、基準ガスを大気へ解放する構成されるポンプシステム、たとえば、真空ポンプシステムを含んでよい。また、ベント93は、基準ガスライン91の出口とダクト36(図1)とを結合してもよい。
図1に示すとおり、差圧測定システム96は、基準ガスライン91内の基準圧と、気相前駆体供給システム40内の局所圧との間の圧力差を測定するよう構成される。たとえば、差圧測定システム96は、差圧キャパシタンスマノメータを含んでよい。さらに、図1に示すとおり、流量測定システム90は、気相前駆体供給システム40内の(絶対)局所圧を測定する構成される絶対圧測定システム98を含んでよい。たとえば、差圧測定システム96としては、たとえばMKSインストルメンツ社から入手可能な型番968a(測定範囲0.1mmHg(0.133Pa))または616A(1mmHg(1.33Pa))の差圧キャパシタンスメータなどの差圧キャパシタンスメータであってよい。
一の実施形態では、以下の手順により、金属前駆体の気相原料の流量を求めることができる。金属前駆体蒸発システム50からの金属前駆体の気相原料を気相前駆体供給システム40を介してプロセスチャンバ10へ輸送するキャリアガスが、実施しようとする堆積プロセスにおいて用いられる所望の流量に設定される。その後、基準ガスを流し始め、基準ガスの流量を調整して、差圧測定システム96が目標差圧、たとえば数値ゼロに達して圧力が釣り合う流量を決定する。その流量を決定し維持した後、金属前駆体の気相原料の導入を開始する。これにより、気相前駆体供給システム40を通して流れるガスには、所望の流量のキャリアガスと、未知の流量の金属前駆体の気相原料とが含まれることとなる。このとき、差圧測定システム96により差圧が測定され、絶対圧測定システム98により(絶対)局所圧が測定される。
プロセスチャンバへ流れるガス中のキャリアガス以外の主要なガス種が、金属前駆体の気相原料、たとえばRu(CO)12(g)又はRe(CO)10(g)であって分解していないものであると仮定すると、測定された差圧と絶対圧との比は、金属前駆体の気相原料の分圧である。その分圧が分かれば、モル比は直接に決まる。すなわち、
ΔP/P=P/P=n/(n+n)=n’/(n’+n’) (4)
が成り立つ。ここで、ΔPは差圧であり、Pは絶対圧であり、Pはガス種「a」の分圧(金属前駆体の気相原料の分圧など)であり、nはガス種「a」のモル数であり、nはガス種「b」(すなわちキャリアガス)のモル数であり、n’はガス種「a」のモル流量であり、n’はガス種「b」のモル流量である。差圧および絶対圧の測定値と、キャリアガスの既知の流量(すなわち、m’=MW’、ただしMWはガス種「b」の分子量)とから、金属前駆体の気相原料のモル流量、したがって、質量流量(すなわち、m’=MW’、ただしMWはガス種「a」の分子量)が決まる。
図1を更に参照すると、堆積システム1は、堆積システム1を作動させ、その動作を制御するよう構成される制御システム80を更に含むことができる。制御システム80は、プロセスチャンバ10、基板ホルダ20、基板温度制御システム22、チャンバ温度制御システム12、気相原料分散システム30、気相前駆体供給システム40、金属前駆体蒸発システム50、およびガス供給システム60と結合される。
他の実施形態において、図2は、ルテニウム(Ru)などの金属膜を基板に堆積する堆積システム100を示す。堆積システム100はプロセスチャンバ110を備え、プロセスチャンバ110は、金属層が形成される基板125を支持するよう構成される基板ホルダ120を備える。プロセスチャンバ110は、金属前駆体152を貯蔵し蒸発させるよう構成される金属前駆体蒸発システム150を有する前駆体供給システム105と、金属前駆体152を輸送するよう構成される気相前駆体供給システム140と結合されている。
プロセスチャンバ110は、上チャンバ部111、下チャンバ部112および排気チャンバ113を備える。下チャンバ部112に開口114が形成され、その底部に排気チャンバ113が結合されている。
図2を更に参照すると、基板ホルダ120は、プロセスの対象である基板(またはウェハ)125を支持して水平面を提供する。基板ホルダ120は、排気チャンバ113の下部から上向きに延びる円筒状支持部材122により支持される。基板ホルダ120の端に、基板ホルダ120上の基板125を位置決めするためのガイドリング124が任意に設けられる。さらに、基板ホルダ120は、基板ホルダ温度制御システム128と結合されるヒータ126を備える。ヒータ126は、たとえば、1以上の抵抗加熱素子を含んでよい。また、ヒータ126は、たとえば、タングステン−ハロゲン電球などの輻射加熱システムを含んでもよい。基板ホルダ温度制御システム128は、1以上の加熱素子へ電力を供給する電力源と、基板温度もしくは基板ホルダ温度または双方を測定する1以上の温度センサと、基板または基板ホルダの温度の監視、調整、または制御の少なくとも一つを実行するよう構成される制御器と、を含んでよい。
プロセス中、加熱された基板125により、金属カルボニル前駆体の気相原料が熱分解し、基板125上に金属層が堆積される。一の実施形態においては、金属前駆体は固体の金属前駆体を含む。他の実施形態では、金属前駆体は金属カルボニル前駆体を含む。別の実施形態においては、金属前駆体152は、ルテニウムカルボニル前駆体、たとえばRu(CO)12とすることができる。さらに別の実施形態では、金属前駆体152は、レニウムカルボニル前駆体、たとえば、Re(CO)10とすることができる。熱CVDの技術分野の当業者であれば、本発明の範囲から逸脱することなく、他のルテニウムカルボニル前駆体やレニウムカルボニル前駆体を使用できることを理解するであろう。さらにまた別の実施形態においては、金属前駆体152は、W(CO)、Mo(CO)、Co(CO)、Rh(CO)12、Cr(CO)、またはOs(CO)12を含んでよい。基板ホルダ120は、所望のRu金属層、Re金属層または他の金属層を基板125上に堆積するのに適した予め定めた温度にまで加熱される。また、チャンバ壁を予め定めた温度に加熱するため、チャンバ温度制御システム121と結合されるヒータ(図示せず)をプロセスチャンバ110の壁に埋め込むことができる。そのヒータは、プロセスチャンバ110の壁の温度を約40℃から約100℃に、または約40℃から約80℃に維持することができる。プロセスチャンバの圧力を測定するため、圧力ゲージ(図示せず)が使用される。
図2にも示すように、気相原料分散システム130は、プロセスチャンバ110の上チャンバ部111と結合されている。気相原料分散システム130は気相原料分散板131を備える。気相原料分散板131は、気相原料分散プレナム132から1以上のオリフィス134を通して基板125の上方のプロセスゾーン133へ前駆体の気相原料を導入するよう構成されている。
さらに、気相前駆体供給システム140から気相原料分散プレナム132へ気相状の金属前駆体を導入するため、上チャンバ部111に開口135が設けられている。また、冷却された又は加熱された流体を流すよう構成される同心円状流体チャネルなどの温度制御素子136が、気相原料分散システム130の温度を制御するために設けられ、気相原料分散システム130内で金属カルボニル前駆体が分解するのを防止する。たとえば、水などの流体が、気相原料分散温度制御システム138から流体チャネルへ供給される。気相原料分散温度制御システム138は、流体源と、熱交換器と、流体温度もしくは気相原料分散板の温度または双方を測定する1以上の温度センサと、気相原料分散板131の温度を約20℃から約100℃に制御するよう構成される制御器とを含んでよい。
図2に示すとおり、金属前駆体蒸発システム150は、金属前駆体152を保持し、金属前駆体152の温度を上昇させることにより金属前駆体152を蒸発(または昇華)させるよう構成される。金属前駆体152を加熱するために前駆体ヒータ154が設けられ、金属前駆体152の蒸気圧が所望の値となる温度に金属前駆体152が維持される。前駆体ヒータ154は、金属前駆体152の温度を制御するよう構成される蒸発温度制御システム156と結合されている。たとえば、前駆体ヒータ154は、金属前駆体152の温度(または蒸発温度)を約40℃以上に調節するよう構成してよい。また、蒸発温度は約50℃以上に上げられる。たとえば、蒸発温度は約60℃以上に上げられる。一の実施形態のおいては、蒸発温度は、約60℃から約100℃までの範囲に上げられ、他の実施形態においては、約60℃から約90℃までの範囲に上げられる。
金属前駆体152が加熱されて蒸発(または昇華)する際、キャリアガスが金属前駆体152の上、傍ら、または中を通過する。また、これらのいくつかを組み合わせた態様でキャリアガスを流すようにしてもよい。キャリアガスは、たとえば、希ガス(すなわち、He、Ne、Ar、Kr、Xe)などの不活性ガス、もしくは金属カルボニルとともに使用するたとえば一酸化炭素(CO)のような一酸化物、または、これらの混合ガスを含んでよい。たとえば、キャリアガス供給システム160を金属前駆体蒸発システム150と結合してよく、これは、たとえば、キャリアガスを金属前駆体152の下または上に流すよう構成される。図2には示していないが、キャリアガス供給システム160を併せて又は代わりに気相前駆体供給システム140と結合して、キャリアガスが気相前駆体供給システム140に流入する際または後に、キャリアガスを金属前駆体152の気相原料に供給してよい。キャリアガス供給システム160は、ガス源161と、1以上の制御バルブ162と、1以上のフィルタ164と、マスフロコントローラ165とを備える。たとえば、キャリアガスの質量流量は、約5sccm(標準立方センチメートル毎分)から約1000sccmまでの範囲とすることができる。一の実施形態においては、キャリアガスの流量は、約10sccmから約200sccmまでの範囲とすることができる。他の実施形においては、キャリアガスの流量は、約20sccmから約100sccmまでの範囲とすることができる。
また、金属前駆体蒸発システム150からの全ガス流を測定するため、センサ166が設けられている。センサ166は、たとえば、マスフロコントローラを備える。プロセスチャンバ110へ供給される金属前駆体の量がセンサ166とマスフロコントローラ165とを用いて決定される。センサ166は、図1を参照しながら上述した、基準ガス源、ベント、1又は2以上のフローコントローラ、およびフロー制限器を備える基準ガスラインを備えるフロー測定システムを含んでよい。ここで、基準ガスラインは、差圧測定システムを介して気相前駆体供給システム140と結合されている。また、フロー測定システムは、気相前駆体供給システム140と結合される絶対圧測定システムを含んでよい。上述のとおり、金属前駆体の気相原料の量に関するフローパラメータは、フロー測定システムを用いて決定される。
センサ166の下流側にバイパスライン167が位置している。バイパスライン167は、気相前駆体供給システム140と排気ライン116とを接続する。バイパスライン167は、気相前駆体供給システム140を排気するため、プロセスチャンバ110への金属前駆体の供給を安定化するために、設けられている。また、バイパスライン167には、気相前駆体供給システム140の分岐点より下流側においてバイパスバルブ168が設けられている。
図2を更に参照すると、気相前駆体供給システム140は、第1のバルブ141と第2のバルブ142を有する高コンダクタンス気相原料ラインを備える。また、気相前駆体供給システム140は、ヒータ(図示せず)を介して気相前駆体供給システム140を加熱するよう構成される気相原料ライン温度制御システム143を更に備えてよい。気相原料ラインで金属カルボニル前駆体が凝結するのを避けるため、気相原料ラインの温度が制御される。気相原料ラインの温度は、約40℃以上とすることができる。また、気相原料ラインの温度は、約40℃から約100℃に、または約40℃から約90℃に制御される。たとえば、気相原料ラインの温度は、概ね蒸発温度以上の値に設定してよい。
また、希釈ガスを希釈ガス供給システム190から供給することができる。たとえば、希釈ガスは、たとえば、希ガス(すなわち、He、Ne、Ar、Kr、Xe)などの不活性ガスや、金属カルボニルとともに使用するCOガスなどの一酸化物、またはこれらの混合ガスを含むことができる。たとえば、ガス供給システム190は、気相前駆体供給システム140と結合され、たとえば、金属前駆体の気相原料に対して希釈ガスを混合するよう構成される。希釈ガス供給システム190は、ガス源191と、1以上の制御バルブ192と、1以上のフィルタ194と、マスフロコントローラ195とを備える。たとえば、希釈ガスの質量流量は、約5sccm(標準立方センチメートル毎分)から約1000sccmまでの範囲とすることができる。
マスフロコントローラ165、195と、バルブ162、192、168、141、142とは、キャリアガス、金属前駆体の気相原料、および希釈ガスの供給、閉止および流れを制御する制御器196により制御される。センサ166はまた、制御器196に接続されている。制御器196は、センサ166の出力に基づいて、マスフロコントローラ195を流れるキャリアガスの流量を制御し、所望量の金属前駆体の流れをプロセスチャンバ110へ導く。
図2に示すように、排気ライン116は排気チャンバ113をポンプシステム118へ接続する。所望の真空度にまでプロセスチャンバ110を排気するため、そして、プロセス中にプロセスチャンバ110からガス種を除去するため、真空ポンプ119が使用される。真空ポンプ119に対して直列に自動圧力制御器(APC)115とトラップ117が使用される。真空ポンプ119は、5000リットル毎秒まで(またはそれ以上)の排気速度を実現できるターボ分子ポンプ(TMP)を含んでよい。また、真空ポンプ119は、粗引きドライポンプを含んでもよい。プロセス中、キャリアガス、希釈ガス、もしくは金属前駆体の気相原料、またはこれらのいかなる組み合わせかがプロセスチャンバ110へ導入され、APC115によりチャンバ圧力が調整される。チャンバ圧力は、約1mTorr(0.133Pa)から約500mTorr(66.6Pa)までの範囲であってよく、更なる例では、チャンバ圧力は、約5mTorr(0.666Pa)から約50mTorr(6.66Pa)までの範囲であってよい。APC115は、バタフライバルブまたはゲートバルブを備えるものであってよい。トラップ117は、プロセスチャンバ110から、副生成物と未反応の金属カルボニル前駆体とを捕集する。
プロセスチャンバ110内の基板ホルダ120を再び参照すると、図2に示すように、基板125を保持し、上昇し、降下するため、3つの基板リフトピン127(図では2つのみを示す)が設けられている。基板リフトピン127は、板123と結合され、基板ホルダ120の上面よりも下方にまで下がることができる。たとえば空気シリンダを利用した駆動メカニズム129により板123を昇降する手段が提供される。基板125は、ロボット移送システム(図示せず)により、ゲートバルブ200およびチャンバフィードスルー通路202を通してプロセスチャンバ110から出し入れされ、基板リフトピン127により受け取られる。基板125が移送システムから受け取られると、基板リフトピン127を下げることにより、基板125は基板ホルダ120の上面へと降ろされる。
図2を再び参照すると、制御器180は、マイクロプロセッサと、メモリと、デジタルI/Oポートとを有する。制御器180は、処理システム100の入力と通信してこれを作動させ、処理システム100からの出力をモニタするに十分な制御電圧を発生することができる。また、処理システム制御器180は、プロセスチャンバ110;制御器196と気相原料ライン温度制御システム143と蒸発温度制御システム156とを含む前駆体供給システム105;気相原料分散温度制御システム138;真空ポンプシステム118;および基板ホルダ温度制御システム128と結合され、情報交換を行う。真空ポンプシステム118では、制御器180がプロセスチャンバ110内の圧力を制御する自動圧力制御器115と結合され、情報交換を行う。メモリに保存されたプログラムを用いて、保管されたプロセスレシピに従って、堆積システム100の上述の部品が制御される。処理システム制御器180の一例は、デルプレシジョンワークステーション610(商標)であり、これは、テキサス州、ダラスデルコーポレーション社から入手可能である。また、汎用コンピュータ、デジタル信号プロセッサ等で制御器180を実現してもよい。
制御器180は、堆積システム100の近傍に設置されてよく、また、インターネットもしくはイントラネットを介して、堆積システム100から離隔して設置されてもよい。したがって、制御器180は、直接接続、イントラネット、またはインターネットのうち少なくとも一つを用いて、堆積システム100とデータを交換することができる。制御器180は、カスタマーサイト(すなわちデバイスメーカ等の側)でイントラネットと結合されてもよく、またベンダーサイト(すなわち機器製造者側)でイントラネットと結合されてもよい。さらに、別のコンピュータ(すなわち制御器、サーバ等)が制御器180にアクセスし、直接接続、イントラネット、またはインターネットのうち少なくとも一つを介してデータを交換してもよい。
上述のとおり、従来のシステムでは、金属前駆体の分解および凝結を制限するため、ルテニウムカルボニルの場合であれば、約40℃から約45℃までの温度範囲で、気相前駆体供給システムと同様に、金属前駆体蒸発システムもまた動作させるものと考えられてきた。しかし、ルテニウムカルボニル又はレニウムカルボニルなどの金属カルボニルの蒸気圧が低いため、このような温度では、たとえばルテニウム又はレニウムの堆積速度はかなり低い。堆積速度を改善するため、蒸発温度を、約40℃より高く、たとえば約50℃より高く上げてよい。基板1枚か数枚に対して、金属前駆体を高温で蒸発させた後には、堆積システムを周期的に洗浄して、堆積システムの内面に形成された残留物を除去するとよい。
図3を参照しながら、基板に高融点金属膜を堆積する方法を説明する。フローチャート300を用いて、本願の方法に従って堆積システムで金属膜を堆積する各ステップを示す。金属膜の堆積は、ステップ310から始まり、まず、金属膜を形成するための基板が堆積システム内に載置される。たとえば、この堆積システムは、図1および図2で説明した堆積システムのいずれかであってよい。堆積システムは、堆積プロセスを進行するためのプロセスチャンバと、プロセスチャンバに設けられ基板を支持するよう構成される基板ホルダとを含むことができる。次いで、ステップ320において、金属前駆体が堆積システムに導入される。たとえば、金属前駆体は、気相前駆体供給システムを介してプロセスチャンバと結合される金属前駆体蒸発システムに導入される。たとえば、気相前駆体供給システムを加熱してもよい。
ステップ330において、金属前駆体が加熱されて金属前駆体の気相原料が生成される。金属前駆体の気相原料は、それから、気相前駆体供給システムを通してプロセスチャンバに輸送される。ステップ340において、金属前駆体の気相原料が分解するに十分な基板温度まで基板が加熱される。ステップ350において、基板が金属前駆体の気相原料に晒される。ステップ310から350が所望の回数連続的に繰り返されて、所望数の基板に金属膜が堆積される。
高融点金属膜が基板に堆積される1枚ごと又は数枚ごとに、ステップ360において、堆積システムが周期的に清浄化するとよい。この清浄化は、堆積システムと結合されるin−situ清浄化システム(図示せず)から清浄化用の組成物を導入することにより行われる。この清浄化用の組成物は、たとえば、ハロゲンを含むラジカル、フッ素ラジカル、酸素ラジカル、オゾン、またはこれらの組み合せであってよい。in−situ清浄化システムは、たとえば、ラジカル発生器またはオゾン発生器を含んでよい。清浄化プロセスを行うときは、「ダミー」基板を用いて基板ホルダを保護するとよい。さらに、金属前駆体蒸発システム、気相前駆体供給システム、プロセスチャンバ、気相原料分散システム、もしくは基板ホルダ、またはこれらのいかなる組み合わせも加熱される。
図4を参照しながら、金属前駆体の気相原料の流量を測定する方法を説明する。金属前駆体の気相原料の流量を測定する方法は、図1および図2で説明した堆積システムのいずれかのような、基板に金属を堆積する堆積システムで利用することができる。この方法は、フローチャート400により示され、ステップ410から始まる。ステップ410において、所望の流量のキャリアガスを気相前駆体供給システムを通して流し始める。上述の通り、キャリアガスには、希ガスのような不活性ガスもしくは一酸化炭素のような一酸化物またはこれらの混合物が含まれ得る。図1および図2に示すように、キャリアガスは、キャリアガス供給システムから導入してよい。
ステップ420において、基準ガスラインを通して基準ガス(不活性ガスなど)を流し始める。この後、ステップ430において、圧力が釣り合うよう基準ガスの流量を調整して、気相前駆体供給システムと基準ラインとの間の差圧を目標値に到達させる。目標値は、たとえば、値ゼロであってよい。
ステップ440において、金属前駆体の気相原料は、金属前駆体蒸発システムからキャリアガスへ導入される。その後、ステップ450において、気相前駆体供給システムと基準ガスラインとの間の差圧が測定される。また、基準ガスラインの絶対圧が測定される。ステップ470において、絶対圧と差圧との測定結果を用いて、金属前駆体の気相原料のフローパラメータを決定する。たとえば、フローパラメータは、質量流量、モル流量、モル数、質量、質量比、モル比、分圧、もしくは濃度、またはこれらの組み合わせを含んでよい。
本発明の好適な実施形態についてのみ詳述したが、当業者であれば、本願発明の新規な教示および利点かから実質的に逸脱することなく、その実施形態において多くの変更が可能であることを直ちに理解するであろう。したがって、そのような変更は、すべて本発明の範囲に含まれると解釈される。
図1は、本発明の一の実施形態による堆積システムの概略図である。 図2は、本発明の他の実施形態による堆積システムの概略図である。 図3は、本発明の一の実施形態による、基板に金属層を堆積する方法を示す図である。 図4は、本発明の実施形態による、金属前駆体の気相原料の流量を測定する方法を示す。

Claims (18)

  1. 基板に高融点金属膜を形成する堆積システムであって
    当該堆積システムは:
    前記基板を支持し前記基板を加熱するよう構成される基板ホルダと、金属前駆体の気相原料を前記基板の上方へ導入するよう構成される気相原料分散システムと、ポンプシステムとを有するプロセスチャンバであり、前記ポンプシステムが当該プロセスチャンバを排気するよう構成されている、プロセスチャンバ;
    金属前駆体を蒸発させるよう構成される金属前駆体蒸発システム;
    前記金属前駆体蒸発システムの出口と結合される第1の端と、前記プロセスチャンバの前記気相原料分散システムの入口と結合される第2の端とを有する気相原料供給システム;
    少なくとも前記金属前駆体蒸発システムもしくは前記気相原料供給システムまたは両者と結合され、キャリアガスを第1のフローとして供給して前記キャリアガスで前記金属前駆体の気相原料を前記気相原料分散システムの前記入口へ輸送するよう構成されるキャリアガス供給システム
    基準ガスラインと結合され、かつ基準ガスを第2のフローとして前記基準ガスラインを通して前記第2のフローを排出するように構成されたベントへ供給するよう構成される基準ガス源を含む基準ガス供給システム;及び、
    前記気相原料供給システムを通る、前記金属前駆体蒸気を有するキャリアガス又は前記金属前駆体蒸気を有しないキャリアガスの第1のフローと、前記の基準ガスラインを通る基準ガスの第2のフローとの間の差圧を測定し、かつ前記キャリアガス内に輸送される前記金属前駆体の量に関するフローパラメータを測定する差圧測定システムを有する圧力測定システム;
    備え、
    前記気相原料供給システムと前記基準ガス供給システムは、前記差圧測定システムのみによって結合する、
    堆積システム。
  2. 前記圧力測定システムが、前記気相原料供給システム及び/又は前記フロー測定システムでの絶対圧力を測定するように構成された絶対圧力測定システムをさらに有する、請求項1に記載の堆積システム。
  3. 前記基準ガスラインが、前記基準ガスの前記第2のフローの流量を調整し制御するよう構成される基準ガスマスフロコントローラを更に備える、請求項に記載の堆積システム。
  4. 前記フローパラメータが、質量流量、モル流量、モル数、質量、質量比、モル比、分圧または濃度を含む、請求項1に記載の堆積システム。
  5. 前記プロセスチャンバ、前記気相原料供給システム、前記金属前駆体蒸発システム、および前記フロー測定システムと結合され、基板温度、蒸発温度、気相原料ライン温度、前記キャリアガスの流量、前記金属前駆体の気相原料、および前記プロセスチャンバ内の圧力のうちの1又は2以上について設定、監視、調整、および制御のうち少なくとも一つを行うよう構成される制御器を更に備える、請求項1に記載の堆積システム。
  6. 前記金属前駆体蒸発システムが固体の金属前駆体を蒸発させるよう構成される、請求項1に記載の堆積システム。
  7. 前記金属前駆体蒸発システムが金属カルボニル前駆体を蒸発させるよう構成される、請求項1に記載の堆積システム。
  8. 前記キャリアガス供給システムが不活性ガスを供給するよう構成される、請求項1に記載の堆積システム。
  9. 前記気相原料供給システムが約50リットル毎秒を超える高いコンダクタンスを有する、請求項1に記載の堆積システム。
  10. 基板を支持するよう構成されるプロセスチャンバと、金属前駆体を蒸発させるよう構成される金属前駆体蒸発システムと、前記金属前駆体蒸発システムを前記プロセスチャンバへ結合する気相原料供給システムと、少なくとも前記金属前駆体蒸発システムもしくは前記気相原料供給システムまたはこれら両者と結合され、キャリアガスを供給して前記キャリアガスで前記金属前駆体の気相原料を前記プロセスチャンバへ輸送するよう構成されるキャリアガス供給システムと、さらには前記気相原料供給システムと差圧測定システムを介して結合される基準ガスラインと結合され、基準ガスを前記基準ガスラインを通して供給するよう構成される基準ガス源を含む基準ガス供給システムと、を含む堆積システム内部での前記基板への高融点金属膜の堆積を監視する方法であって:
    前記気相原料供給システムを通るように、前記キャリアガス所望のフロー速度で流す工程;
    前記基準ガスラインを通して前記基準ガスの基準フローを開始する工程;
    前記圧力測定システムによって、前記の気相原料供給システムを通るキャリアガスの第1のフローと、前記の基準ガスラインを通る基準ガスの基準フローとの間の第1の差圧を測定する工程;
    前記第1の差圧が目標値に達するまで、前記の基準ガスの基準フローを測定しながら調整する工程;
    前記キャリアガスの前記所望のフローに前記金属前駆体の気相原料を導入する工程;
    前記圧力測定システムによって、前記の気相原料供給システムを通る金属前駆体を有するキャリアガスのフローと、前記基準ガスラインを通る基準フローとの間の第2の差圧を測定する工程;
    前記圧力測定システムによって、前記気相原料供給システムまたは前記基準ガスラインの少なくとも一つにおける絶対圧力を測定する工程;および
    前記第1の差圧と、前記第2の差圧と、前記絶対圧力と、前記キャリアガスの前記所望のフローとを用いて、前記金属前駆体の気相原料の流量に関するフローパラメータ決定する工程;
    を備える方法。
  11. 前記金属前駆体の気相原料を導入する前記工程が固体の金属前駆体を蒸発させる工程を含む、請求項10に記載の方法。
  12. 前記金属前駆体の気相原料を導入する前記工程が金属カルボニルを蒸発させる工程を含む、請求項10に記載の方法。
  13. 前記金属前駆体の気相原料を導入する前記工程が、W(CO)、Mo(CO)、Co(CO)、Rh(CO)12、Re(CO)10、Cr(CO)、またはRu(CO)12の一つを蒸発させる工程を含む、請求項10に記載の方法。
  14. 前記フローパラメータを決定する前記工程が、質量流量、モル流量、モル数、質量、質量比、モル比、分圧、または濃度のうちの1又は2以上を決定する工程を含む、請求項10に記載の方法。
  15. 前記キャリアガスが不活性ガスである、請求項10に記載の方法。
  16. 前記不活性ガスが希ガスを含む、請求項15に記載の方法。
  17. 前記キャリアガスが一酸化物ガスを含む、請求項10に記載の方法。
  18. 前記一酸化物ガスが一酸化炭素(CO)を含む、請求項17に記載の方法。
JP2007543037A 2004-11-29 2005-10-03 固体前駆体供給システムにおいて流量を測定する方法およびシステム Expired - Fee Related JP4975638B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/998,393 2004-11-29
US10/998,393 US8435351B2 (en) 2004-11-29 2004-11-29 Method and system for measuring a flow rate in a solid precursor delivery system
PCT/US2005/035581 WO2006057708A2 (en) 2004-11-29 2005-10-03 Method and system for measuring a flow rate in a solid precursor delivery system

Publications (2)

Publication Number Publication Date
JP2008522028A JP2008522028A (ja) 2008-06-26
JP4975638B2 true JP4975638B2 (ja) 2012-07-11

Family

ID=39166891

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007543037A Expired - Fee Related JP4975638B2 (ja) 2004-11-29 2005-10-03 固体前駆体供給システムにおいて流量を測定する方法およびシステム

Country Status (5)

Country Link
US (1) US8435351B2 (ja)
JP (1) JP4975638B2 (ja)
KR (1) KR20070085281A (ja)
CN (1) CN101065514B (ja)
WO (1) WO2006057708A2 (ja)

Families Citing this family (190)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7638002B2 (en) * 2004-11-29 2009-12-29 Tokyo Electron Limited Multi-tray film precursor evaporation system and thin film deposition system incorporating same
US20060185597A1 (en) * 2004-11-29 2006-08-24 Kenji Suzuki Film precursor evaporation system and method of using
US7484315B2 (en) * 2004-11-29 2009-02-03 Tokyo Electron Limited Replaceable precursor tray for use in a multi-tray solid precursor delivery system
US7488512B2 (en) * 2004-11-29 2009-02-10 Tokyo Electron Limited Method for preparing solid precursor tray for use in solid precursor evaporation system
US7708835B2 (en) * 2004-11-29 2010-05-04 Tokyo Electron Limited Film precursor tray for use in a film precursor evaporation system and method of using
US7351285B2 (en) * 2005-03-29 2008-04-01 Tokyo Electron Limited Method and system for forming a variable thickness seed layer
US7651570B2 (en) * 2005-03-31 2010-01-26 Tokyo Electron Limited Solid precursor vaporization system for use in chemical vapor deposition
US20070234955A1 (en) * 2006-03-29 2007-10-11 Tokyo Electron Limited Method and apparatus for reducing carbon monoxide poisoning at the peripheral edge of a substrate in a thin film deposition system
US20080141937A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
US7846256B2 (en) * 2007-02-23 2010-12-07 Tokyo Electron Limited Ampule tray for and method of precursor surface area
JP5103983B2 (ja) * 2007-03-28 2012-12-19 東京エレクトロン株式会社 ガス供給方法、ガス供給装置、半導体製造装置及び記憶媒体
JP5236197B2 (ja) * 2007-03-28 2013-07-17 東京エレクトロン株式会社 成膜方法および成膜装置
JP2009174044A (ja) * 2007-12-27 2009-08-06 Canon Anelva Corp 蒸気供給装置を含む基板処理装置
GB0802687D0 (en) * 2008-02-14 2008-03-19 P2I Ltd Vapour delivery system
US7964497B2 (en) * 2008-06-27 2011-06-21 International Business Machines Corporation Structure to facilitate plating into high aspect ratio vias
JP5649510B2 (ja) * 2010-08-19 2015-01-07 キヤノンアネルバ株式会社 プラズマ処理装置,成膜方法,dlc皮膜を有する金属板の製造方法,セパレータの製造方法
DE102011051931A1 (de) * 2011-07-19 2013-01-24 Aixtron Se Vorrichtung und Verfahren zum Bestimmen des Dampfdrucks eines in einem Trägergasstrom verdampften Ausgangsstoffes
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6142629B2 (ja) * 2013-03-29 2017-06-07 東京エレクトロン株式会社 原料ガス供給装置、成膜装置及び原料ガス供給方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
US11718912B2 (en) * 2019-07-30 2023-08-08 Applied Materials, Inc. Methods and apparatus for calibrating concentration sensors for precursor delivery
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TWI776202B (zh) * 2020-08-07 2022-09-01 呂寶源 固態金屬有機化合物轉態方法及其轉態系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230298909A1 (en) * 2022-03-21 2023-09-21 Entegris, Inc. Systems and methods for controlling precursor delivery
WO2024050249A1 (en) * 2022-08-30 2024-03-07 Lam Research Corporation Modular vapor delivery system for semiconductor process tools

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3479219A (en) 1966-01-17 1969-11-18 Ibm Method of fabricating magnetic recording media
DE69033760T2 (de) 1990-01-08 2001-10-25 Lsi Logic Corp Struktur zum Filtern von Prozessgasen zum Einsatz in einer Kammer für chemische Dampfabscheidung
NL9002164A (nl) * 1990-10-05 1992-05-06 Philips Nv Werkwijze voor het voorzien van een substraat van een oppervlaktelaag vanuit een damp en een inrichting voor het toepassen van een dergelijke werkwijze.
US5458086A (en) * 1993-10-13 1995-10-17 Superconductor Technologies, Inc. Apparatus for growing metal oxides using organometallic vapor phase epitaxy
JPH09162132A (ja) 1995-12-07 1997-06-20 Japan Aviation Electron Ind Ltd Cvd装置
US6099649A (en) * 1997-12-23 2000-08-08 Applied Materials, Inc. Chemical vapor deposition hot-trap for unreacted precursor conversion and effluent removal
US6119710A (en) * 1999-05-26 2000-09-19 Cyber Instrument Technologies Llc Method for wide range gas flow system with real time flow measurement and correction
JP2003504881A (ja) 1999-07-12 2003-02-04 エイエスエムエル ユーエス インコーポレイテッド 化学種の組み合わせを用いる半導体製造装置の現場洗浄のための方法及びシステム
JP2002069634A (ja) * 2000-08-29 2002-03-08 Canon Inc 薄膜作製方法および薄膜作製装置
JP2002367911A (ja) 2001-06-07 2002-12-20 Sumitomo Chem Co Ltd 気相成長半導体製造装置及び方法
TW578198B (en) * 2001-08-24 2004-03-01 Asml Us Inc Atmospheric pressure wafer processing reactor having an internal pressure control system and method
US6701066B2 (en) * 2001-10-11 2004-03-02 Micron Technology, Inc. Delivery of solid chemical precursors
EP1444379B1 (en) * 2001-10-15 2005-05-04 Micron Technology, Inc. Atomic layer deposition apparatus and process
US6461436B1 (en) * 2001-10-15 2002-10-08 Micron Technology, Inc. Apparatus and process of improving atomic layer deposition chamber performance
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US7107998B2 (en) * 2003-10-16 2006-09-19 Novellus Systems, Inc. Method for preventing and cleaning ruthenium-containing deposits in a CVD apparatus

Also Published As

Publication number Publication date
CN101065514A (zh) 2007-10-31
WO2006057708A2 (en) 2006-06-01
KR20070085281A (ko) 2007-08-27
JP2008522028A (ja) 2008-06-26
WO2006057708A3 (en) 2006-09-21
US20060115589A1 (en) 2006-06-01
US8435351B2 (en) 2013-05-07
CN101065514B (zh) 2010-06-16

Similar Documents

Publication Publication Date Title
JP4975638B2 (ja) 固体前駆体供給システムにおいて流量を測定する方法およびシステム
JP4980235B2 (ja) 金属カルボニル前駆体からの金属層の成膜速度を上げる方法
US7132128B2 (en) Method and system for depositing material on a substrate using a solid precursor
US7651570B2 (en) Solid precursor vaporization system for use in chemical vapor deposition
JP4980234B2 (ja) 金属カルボニル前駆体から金属層を堆積する方法
US7459396B2 (en) Method for thin film deposition using multi-tray film precursor evaporation system
US7794788B2 (en) Method for pre-conditioning a precursor vaporization system for a vapor deposition process
US7484315B2 (en) Replaceable precursor tray for use in a multi-tray solid precursor delivery system
JP4512159B2 (ja) 低抵抗ルテニウム層の低温化学気相成長
US20060115590A1 (en) Method and system for performing in-situ cleaning of a deposition system
US7763311B2 (en) Method for heating a substrate prior to a vapor deposition process
US20070237895A1 (en) Method and system for initiating a deposition process utilizing a metal carbonyl precursor
US7566477B2 (en) Method for saturating a carrier gas with precursor vapor
US7858522B2 (en) Method for reducing carbon monoxide poisoning in a thin film deposition system
US7867560B2 (en) Method for performing a vapor deposition process
US20070231489A1 (en) Method for introducing a precursor gas to a vapor deposition system

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080822

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110830

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111130

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120327

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120411

R150 Certificate of patent or registration of utility model

Ref document number: 4975638

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150420

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150420

Year of fee payment: 3

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150420

Year of fee payment: 3

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees