JP4919768B2 - 集積回路装置 - Google Patents

集積回路装置 Download PDF

Info

Publication number
JP4919768B2
JP4919768B2 JP2006305796A JP2006305796A JP4919768B2 JP 4919768 B2 JP4919768 B2 JP 4919768B2 JP 2006305796 A JP2006305796 A JP 2006305796A JP 2006305796 A JP2006305796 A JP 2006305796A JP 4919768 B2 JP4919768 B2 JP 4919768B2
Authority
JP
Japan
Prior art keywords
clock
signal
circuit
clock signal
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006305796A
Other languages
English (en)
Other versions
JP2008123604A (ja
Inventor
藏 顕 一 安
永 千佳子 徳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP2006305796A priority Critical patent/JP4919768B2/ja
Priority to US11/936,212 priority patent/US7783942B2/en
Priority to US11/936,836 priority patent/US8592139B2/en
Publication of JP2008123604A publication Critical patent/JP2008123604A/ja
Application granted granted Critical
Publication of JP4919768B2 publication Critical patent/JP4919768B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/14Implementation of control logic, e.g. test mode decoders
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/12015Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details comprising clock generation or timing circuitry
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/10Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers
    • G11C7/1075Input/output [I/O] data interface arrangements, e.g. I/O data control circuits, I/O data buffers for multiport memories each having random access ports and serial ports, e.g. video RAM
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C29/00Checking stores for correct operation ; Subsequent repair; Testing stores during standby or offline operation
    • G11C29/04Detection or location of defective memory elements, e.g. cell constructio details, timing of test signals
    • G11C29/08Functional testing, e.g. testing during refresh, power-on self testing [POST] or distributed testing
    • G11C29/12Built-in arrangements for testing, e.g. built-in self testing [BIST] or interconnection details
    • G11C29/36Data generation devices, e.g. data inverters
    • G11C2029/3602Pattern generator
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C8/00Arrangements for selecting an address in a digital store
    • G11C8/16Multiple access memory array, e.g. addressing one storage element via at least two independent addressing line groups

Description

本発明は、集積回路装置、例えば、組み込み自己テスト回路を具備する半導体集積回路に関する。
埋め込みメモリが埋め込まれた半導体集積回路に、組み込み自己テスト回路(Built-In Self Test回路。以下「BIST回路」と呼ぶ)を組み込み、半導体集積回路の製造テストにおいて、メモリの故障をBIST回路により検出する方法が広く用いられている。故障検出方法の具体例としては、書き込みデータと読み出しデータとを読み出し毎に比較し、比較結果を元に故障の有無を判別する「比較器型BIST」や、読み出し結果をまとめて圧縮し、圧縮結果を元に故障の有無を判別する「圧縮器型BIST」が挙げられる。
BIST回路の動作クロックは、メモリの動作クロックと周期を合わせる必要がある。特に、高速のテスト動作を行う場合は、BIST回路のクロックとメモリのクロックとを同源にして、クロックのスキューが最小限となるよう、クロックツリー合成等の調整処理を行わなければならない。
ところで、複数のポートを有するマルチポートメモリでは、クロック入力がポート毎に別々に設けられているのが一般的である。そして、これらのポートにはそれぞれ、互いに周波数の独立したクロックが与えられている場合がある。このような場合、このままではBIST回路の動作クロックをこれらの全てのポートと同期させることはできず、テストを正しく進めることができない。BIST回路の動作クロックを各ポートと同期させるために、テストの際には各ポートに同源のクロックが供給されるよう、各ポートのクロック入力に切り替え回路を設けることもできる。しかし、マルチポートメモリの動作クロックは高速であることが多く、切り替え回路の挿入は、マルチポートメモリの性能への影響が大きい。また、切り替え回路の挿入には、システムクロックのクロック周波数でのテストができなくなるという難点もある。
特許文献1には、切り替え回路なしでBIST回路によるテストを行う回路構成が開示されている。特許文献1では、第1のクロックに応じてメモリの全アドレスへの書き込みを行った後、第2のクロックに応じてメモリの全アドレスからの読み出しを行っている。しかしながらこれは、BIST回路によるテストのアルゴリズムとしては最も単純なアルゴリズムであり、一般的には、アドレス毎に書き込みと読み出しとを交互に行う「マーチテスト」等の、より複雑なアルゴリズムが用いられる。このような複雑なアルゴリズムによるテストでは、動作途中で頻繁にポートが切り替わるので、特許文献1の回路構成ではこれを実行することはできない。
特開2003−217299号公報
本発明は、マルチポートメモリをテストするのに好適な組み込み自己テスト回路を具備する集積回路装置を実現することを課題とする。
本発明は例えば、第1のクロック信号が入力される第1のポートと、第2のクロック信号が入力される第2のポートとを有するメモリと;前記第1のクロック信号が入力され、前記第1のクロック信号に基づいて動作し、前記メモリをテストするための信号を生成し出力する第1の信号生成回路と、前記第2のクロック信号が入力され、前記第2のクロック信号に基づいて動作し、前記メモリをテストするための信号を生成し出力する第2の信号生成回路と、前記第1及び第2のクロック信号が入力され、入力されたクロック信号の内のいずれか1つを選択し出力するクロック選択回路と、前記第1又は第2のクロック信号を要求するクロック要求信号を前記クロック選択回路に出力し、前記クロック選択回路により選択され出力されたクロック信号に基づいて動作し、前記第1又は第2の信号生成回路を制御するための制御信号を出力する制御回路とを有する組み込み自己テスト回路と;を備えることを特徴とする集積回路装置に係る。
本発明は例えば、第1のクロック信号が入力される第1のポートと、第2のクロック信号が入力される第2のポートとを有する第1のメモリと;第3のクロック信号が入力される第3のポートと、第4のクロック信号が入力される第4のポートとを有する第2のメモリと;前記第1のクロック信号が入力され、前記第1のクロック信号に基づいて動作し、前記第1のメモリをテストするための信号を生成し出力する第1の信号生成回路と、前記第2のクロック信号が入力され、前記第2のクロック信号に基づいて動作し、前記第1のメモリをテストするための信号を生成し出力する第2の信号生成回路と、前記第3のクロック信号が入力され、前記第3のクロック信号に基づいて動作し、前記第2のメモリをテストするための信号を生成し出力する第3の信号生成回路と、前記第4のクロック信号が入力され、前記第4のクロック信号に基づいて動作し、前記第2のメモリをテストするための信号を生成し出力する第4の信号生成回路と、前記第1及び第2のクロック信号が入力され、入力されたクロック信号の内のいずれか1つを選択し出力する第1のクロック選択回路と、前記第3及び第4のクロック信号が入力され、入力されたクロック信号の内のいずれか1つを選択し出力する第2のクロック選択回路と、前記第1のクロック選択回路により選択され出力されたクロック信号及び前記第2のクロック選択回路により選択され出力されたクロック信号が入力され、入力されたクロック信号の内のいずれか1つを選択し出力する選択回路と、前記第1又は第2のクロック信号を要求するクロック要求信号を前記第1のクロック選択回路に出力し、前記第3又は第4のクロック信号を要求するクロック要求信号を前記第2のクロック選択回路に出力し、前記選択回路により選択され出力されたクロック信号に基づいて動作し、前記第1、第2、第3、又は第4の信号生成回路を制御するための制御信号を出力する制御回路とを有する組み込み自己テスト回路と;を備えることを特徴とする集積回路装置に係る。
本発明は、マルチポートメモリをテストするのに好適な組み込み自己テスト回路を具備する集積回路装置を実現することを可能にする。
(第1実施例)
図1は、第1実施例の集積回路装置101の回路構成図である。該集積回路装置101は、共通のIC基盤上に設けられた、1つのメモリ111と、1つのBIST回路112と、システム論理113A,Bとを備える。
メモリ111は、複数のポートを有するマルチポートメモリであり、ここでは、2つのポート121A,Bを有している。ポート121Aは、書き込み用のポートであり、ポート121Aには、書き込み用のシステム信号201Aがシステム論理113Aから入力される。ポート121Bは、読み出し用のポートであり、ポート121Bからは、読み出し用のシステム信号201Bがシステム論理113Bに出力される。更に、ポート121Aには、書き込み用のクロック信号202Aがシステム論理113Aのクロック生成回路114Aから入力され、ポート121Bには、読み出し用のクロック信号202Bがシステム論理113Bのクロック生成回路114Bから入力される。クロック信号202A,Bはここでは、互いに異なるクロック生成回路114A,Bから生成される、互いに周波数の独立したクロック信号である。
ポート121A,Bのピン構成の具体例をそれぞれ、図2A,Bに示す。ポート121A,Bはここではそれぞれ、複数本のアドレスピンと、複数本のデータピンと、1本のチップイネーブルピンと、1本のライトイネーブルピン又はリードイネーブルピンと、1本のクロックピンにより構成されている。
BIST回路112は、メモリ111をテストするための集積回路であり、ここでは、2つの信号生成回路131A,Bと、1つのクロック選択回路132と、1つの制御回路133とを有している。信号生成回路131A,Bはそれぞれ、アドレス生成回路141A,Bと、データ生成回路142A,Bと、制御信号生成回路143A,Bとを有する。クロック選択回路132は、パルスキャンセル回路144を有する。
信号生成回路131A,Bにはそれぞれ、クロック信号202A,Bが入力される。信号生成回路131A,Bはそれぞれ、クロック信号202A,Bに基づいて動作し、メモリ111をテストするためのBIST信号211A,Bを生成し出力する。BIST信号211A,Bはここではそれぞれ、アドレス生成回路141A,Bにより生成され出力されるアドレス信号221A,Bと、データ生成回路142A,Bにより生成され出力されるデータ信号222A,Bと、制御信号生成回路143A,Bにより生成され出力されるメモリ111用の制御信号223A,Bにより構成される。信号生成回路131A,Bによって生成され出力されたBIST信号211A,Bは、メモリ111に入力される。
クロック選択回路132には、クロック信号202A及びクロック信号202Bが入力される。クロック選択回路132は、入力されたクロック信号の内のいずれか1つ(ここではクロック信号202A又はクロック信号202B)を選択し出力する。クロック選択回路132によって選択され出力されたクロック信号202Xは、制御回路133に入力される。
制御回路133は、有限状態マシン(FSM)の一種であり、ここでは、書き込み用のクロック信号202Aで動作する書き込みモードと、読み出し用のクロック信号202Bで動作する読み出しモードとを有する。
制御回路133は、読み出しモードから書き込みモードに遷移する際には、書き込み用のクロック信号202Aを要求するクロック要求信号212を、クロック選択回路132に出力する。これに応じて、クロック選択回路132は、クロック信号202Aを選択し出力する。そして、書き込みモードの制御回路133は、クロック選択回路132により選択され出力されたクロック信号202X(即ちクロック信号202A)に基づいて動作し、信号生成回路131Aを制御するための制御信号213を出力する。これに応じて、信号生成回路131Aは、メモリ111をテストするためのBIST信号211Aを生成し出力する。
制御回路133は、書き込みモードから読み出しモードに遷移する際には、読み出し用のクロック信号202Bを要求するクロック要求信号212を、クロック選択回路132に出力する。これに応じて、クロック選択回路132は、クロック信号202Bを選択し出力する。そして、読み出しモードの制御回路133は、クロック選択回路132により選択され出力されたクロック信号202X(即ちクロック信号202B)に基づいて動作し、信号生成回路131Bを制御するための制御信号213を出力する。これに応じて、信号生成回路131Bは、メモリ111をテストするためのBIST信号211Bを生成し出力する。
ここで、単純なテストアルゴリズムの具体例と複雑なテストアルゴリズムの具体例とをそれぞれ、図3と図4とに示す。図3では、メモリの全アドレスへの「0」の書き込みを行った後、該メモリの全アドレスからの「0」の読み出しを行っており、更に該メモリの全アドレスへの「1」の書き込みを行った後、該メモリの全アドレスからの「1」の読み出しを行っている。図3に対応する状態遷移図を、図5に示す。一方、図4では、メモリの全アドレスへの「0」の書き込みを行った後、該メモリの各アドレス毎に「0」の読み出しと「1」の書き込みと「1」の読み出しとを交互に行っている。図4に対応する状態遷移図を、図6に示す。
図1のBIST回路112は、図1のメモリ111をテストする場合、書き込み時には書き込み用のクロック信号202Aで動作する必要があり、読み出し時には読み出し用のクロック信号202Bで動作する必要がある。そのため、該BIST回路112による該メモリ111のテストでは、クロック信号の切り替えの頻度が問題となる。図3のような単純なテストアルゴリズムが採用される場合には、クロック信号を頻繁に切り替える必要はないが、図4のような複雑なテストアルゴリズムが採用される場合には、クロック信号を頻繁に切り替える必要がある。
図1のBIST回路112では、テストアルゴリズムの進行は、有限状態マシンである制御回路133によって制御される。図3のテストアルゴリズムが採用される場合、制御回路133の状態は図5の状態遷移図のように遷移し、図4のテストアルゴリズムが採用される場合、制御回路133の状態は図6の状態遷移図のように遷移する。クロック信号の切り替えは、制御回路133の状態遷移に伴うアクションである、制御回路133からクロック選択回路132へのクロック要求信号212の出力によって実行される。図1のBIST回路112は、このような制御回路133の存在によって、クロック信号の頻繁な切り替えにも対応可能となっている。制御回路133が制御可能なテストアルゴリズムの具体例としては、マーチテストアルゴリズムが挙げられる。
ここで、クロック要求信号212の波形図を、図7に示す。正(ハイ)のクロック要求信号212はここでは、クロック信号202Aを要求する信号に相当し、負(ロー)のクロック要求信号212はここでは、クロック信号202Bを要求する信号に相当する。クロック要求信号212が正から負に切り替わることで、該クロック要求信号212で要求されるクロック信号がクロック信号202Aからクロック信号202Bに切り替わり、クロック要求信号212が負から正に切り替わることで、該クロック要求信号212で要求されるクロック信号がクロック信号202Bからクロック信号202Aに切り替わる。
制御回路133は、最低限のクロックパルス幅を確保するために、図7のように、クロック要求信号212で要求するクロック信号の切り替えを、現在のクロック信号202Xの立ち下がりを基準として行う。図7では、クロック要求信号212で要求するクロック信号の切り替えが、時刻α,β,γにおいてそれぞれ、クロック信号202A,クロック信号202B,クロック信号202Aの立ち下がりを基準として行われている。
この場合、時刻β直後のクロック信号202Xのように、切り替え直後のクロック信号202Xに、パルス幅の狭い負のパルス(ローのパルス)が発生することがある。これを防止するため、クロック選択回路132には、パルス幅が閾値以下(又は閾値未満)の負のパルスをキャンセルするパルスキャンセル回路144が設けられている。これにより、クロック信号202Xはクロック信号202X’のようになり、最低パルス幅以下(又は最低パルス幅未満)の負のパルスがキャンセルされることになる。パルスキャンセル回路144の回路構成図の具体例を、図8に示す。
なお、制御回路133は、クロック要求信号212で要求するクロック信号の切り替えを、クロック信号202Xの立ち上がりを基準として行うようにしてもよい。この場合、クロック選択回路132には、パルス幅が閾値以下(又は閾値未満)の正のパルス(ハイのパルス)をキャンセルするパルスキャンセル回路144が設けられることになる。
以上のような構成及び処理により、図1の集積回路装置101は、複数の互いに独立なクロックを有するメモリ111のBIST回路112によるテストを、システムクロックに変更を加えることなく行うことができるようになる。本実施例は、マーチアルゴリズムを用いるテストのように、同一アドレスに対して書き込みと読み出しとが交互に行われ、その都度クロック信号の切り替えが要求されるようなテストについても適用可能である。本実施例は例えば、比較器型BISTや圧縮器型BISTに適用可能である。前者の場合には比較器や比較結果解析器が、後者の場合には圧縮器や圧縮結果解析器が、図1の集積回路装置101に設けられることになる。該圧縮器としては例えば、「Built-In Test for VLSI: Pseudo Random Techniques, Paul H. Bardell, William H. McAnney and Jacob Savir, John Wiley & Sons, 1987」にあるような、Linear Feedback Shift Registerの変形形態であるMultiple Input Signature Register(MISR)が使用可能である。
本実施例は、2つのポートを有するマルチポートメモリだけでなく、3つ以上のポートを有するマルチポートメモリにも適用可能である。メモリ111がN個のポート121を有し、メモリ111にN種類のシステム信号201及びクロック信号202が入力される場合(Nは2以上の整数)、BIST回路112には、N個の信号生成回路131と、1個のクロック選択回路132と、1個の制御回路133とが設けられる。
この場合、第1,第2,,,第Nの信号生成回路131はそれぞれ、第1,第2,,,第Nのクロック信号202が入力され、第1,第2,,,第Nのクロック信号202に基づいて動作し、メモリ111をテストするための第1,第2,,,第NのBIST信号211を生成し出力する。また、クロック選択回路132は、第1,第2,,,及び第Nのクロック信号202が入力され、第1,第2,,,又は第Nのクロック信号202を選択し出力する。また、制御回路133は、第1,第2,,,又は第Nのクロック信号202を要求するクロック要求信号212をクロック選択回路132に出力し、クロック選択回路132により選択され出力されたクロック信号202に基づいて動作し、第1,第2,,,又は第Nの信号生成回路131を制御するための制御信号213を出力する。
本実施例は更に、1つのBIST回路が1つのマルチポートメモリをテストするような集積回路装置だけでなく、1つのBIST回路が2つ以上(例えば数十個〜数百個ほど)のマルチポートメモリをテストするような集積回路装置にも適用可能である。このような実施例については、第2実施例において説明する。
(第2実施例)
図9は、第2実施例の集積回路装置101の回路構成図である。該集積回路装置101は、共通のIC基盤上に設けられた、2つのメモリ111A,Bと、1つのBIST回路112と、システム論理113A,B,C,Dとを備える。
メモリ111Aは、複数のポートを有するマルチポートメモリであり、ここでは、2つのポート121A,Bを有している。メモリ111Bも同様に、複数のポートを有するマルチポートメモリであり、ここでは、2つのポート121C,Dを有している。ポート121A,Cは、書き込み用のポートであり、ポート121A,Cにはそれぞれ、書き込み用のシステム信号201A,Cがシステム論理113A,Cから入力される。ポート121B,Dは、読み出し用のポートであり、ポート121B,Dからはそれぞれ、読み出し用のシステム信号201B,Dがシステム論理113B,Dに出力される。更に、ポート121A,Cにはそれぞれ、書き込み用のクロック信号202A,Cがシステム論理113A,Cのクロック生成回路114A,Cから入力され、ポート121B,Dにはそれぞれ、読み出し用のクロック信号202B,Dがシステム論理113B,Dのクロック生成回路114B,Dから入力される。クロック信号202A,Bはここでは、互いに異なるクロック生成回路114A,Bから生成される、互いに周波数の独立したクロック信号である。クロック信号202C,Dもここでは、互いに異なるクロック生成回路114C,Dから生成される、互いに周波数の独立したクロック信号である。
BIST回路112は、メモリ111A,Bをテストするための集積回路であり、ここでは、4つの信号生成回路131A,B,C,Dと、2つのクロック選択回路132A,Bと、1つの制御回路133と、1つの選択回路134とを有している。信号生成回路131A,B,C,Dはそれぞれ、アドレス生成回路141A,B,C,Dと、データ生成回路142A,B,C,Dと、制御信号生成回路143A,B,C,Dとを有する。クロック選択回路132A,Bはそれぞれ、パルスキャンセル回路144A,Bを有する。
信号生成回路131A,B,C,Dにはそれぞれ、クロック信号202A,B,C,Dが入力される。信号生成回路131A,Bはそれぞれ、クロック信号202A,Bに基づいて動作し、メモリ111AをテストするためのBIST信号211A,Bを生成し出力する。信号生成回路131C,Dはそれぞれ、クロック信号202C,Dに基づいて動作し、メモリ111BをテストするためのBIST信号211C,Dを生成し出力する。BIST信号211A,B,C,Dはここではそれぞれ、アドレス生成回路141A,B,C,Dにより生成され出力されるアドレス信号221A,B,C,Dと、データ生成回路142A,B,C,Dにより生成され出力されるデータ信号222A,B,C,Dと、制御信号生成回路143A,B,C,Dにより生成され出力されるメモリ111A又は111B用の制御信号223A,B,C,Dにより構成される。信号生成回路131A,Bによって生成され出力されたBIST信号211A,Bは、メモリ111Aに入力される。信号生成回路131C,Dによって生成され出力されたBIST信号211C,Dは、メモリ111Bに入力される。
クロック選択回路132Aには、クロック信号202A及びクロック信号202Bが入力される。クロック選択回路132Bには、クロック信号202C及びクロック信号202Dが入力される。クロック選択回路132Aは、入力されたクロック信号の内のいずれか1つ(ここではクロック信号202A又はクロック信号202B)を選択し出力する。クロック選択回路132Bも同様に、入力されたクロック信号の内のいずれか1つ(ここではクロック信号202C又はクロック信号202D)を選択し出力する。クロック選択回路132A,Bによって選択され出力されたクロック信号202X,Yは、選択回路134に入力される。
選択回路134には、クロック選択回路132Aによって選択され出力されたクロック信号202X、及びクロック選択回路132Bによって選択され出力されたクロック信号202Yが入力される。選択回路134は、入力されたクロック信号の内のいずれか1つ(ここではクロック信号202X又はクロック信号202Y)を選択し出力する。該選択回路134によって選択され出力されたクロック信号202Zは、制御回路133に入力される。
なお、選択回路134が選択し出力するクロック信号202Zは、その際にテスト対象となっているメモリに対応するクロック信号である。例えば、メモリ111Aのテスト時にはクロック信号202Xが選択され出力され、メモリ111Bのテスト時にはクロック信号202Yが選択され出力される。テスト対象のメモリの切り替えについては、BIST回路112が制御するようにしてもよいし、CPUが制御するようにしてもよい。後者の場合、選択回路134には、テスト対象のメモリに関する情報がCPUから与えられることになる。
制御回路133は、有限状態マシン(FSM)の一種であり、ここでは、書き込み用のクロック信号202A又は202Cで動作する書き込みモードと、読み出し用のクロック信号202B又は202Dで動作する読み出しモードとを有する。
制御回路133は、読み出しモードから書き込みモードに遷移する際には、書き込み用のクロック信号202Aを要求するクロック要求信号212を、クロック選択回路132Aに出力し、書き込み用のクロック信号202Cを要求するクロック要求信号212を、クロック選択回路132Bに出力する。これに応じて、クロック選択回路132Aは、クロック信号202Aを選択し出力し、クロック選択回路132Bは、クロック信号202Cを選択し出力する。続いて、選択回路134は、メモリ111Aのテスト時にはクロック信号202X(即ちクロック信号202A)を選択し出力し、メモリ111Bのテスト時にはクロック信号202Y(即ちクロック信号202C)を選択し出力する。そして、書き込みモードの制御回路133は、選択回路134により選択され出力されたクロック信号202Z(即ちクロック信号202A又は202C)に基づいて動作し、信号生成回路131A又は131Cを制御するための制御信号213を出力する。これに応じて、信号生成回路131A又は131Cは、メモリ111A又は111BをテストするためのBIST信号211A又は211Cを生成し出力する。
制御回路133は、読み出しモードから書き込みモードに遷移する際には、読み出し用のクロック信号202Bを要求するクロック要求信号212を、クロック選択回路132Aに出力し、書き込み用のクロック信号202Dを要求するクロック要求信号212を、クロック選択回路132Bに出力する。これに応じて、クロック選択回路132Aは、クロック信号202Bを選択し出力し、クロック選択回路132Bは、クロック信号202Dを選択し出力する。続いて、選択回路134は、メモリ111Aのテスト時にはクロック信号202X(即ちクロック信号202B)を選択し出力し、メモリ111Bのテスト時にはクロック信号202Y(即ちクロック信号202D)を選択し出力する。そして、読み出しモードの制御回路133は、選択回路134により選択され出力されたクロック信号202Z(即ちクロック信号202B又は202D)に基づいて動作し、信号生成回路131B又は131Dを制御するための制御信号213を出力する。これに応じて、信号生成回路131B又は131Dは、メモリ111A又は111BをテストするためのBIST信号211B又は211Dを生成し出力する。
本実施例は、1つのBIST回路が2つのマルチポートメモリをテストするような集積回路装置だけでなく、1つのBIST回路が3つ以上のマルチポートメモリをテストするような集積回路装置にも適用可能である。第1,第2,,,第Kのメモリ111がそれぞれN個のポート121を有し、第1,第2,,,第Kのメモリ111にそれぞれN種類のシステム信号201及びクロック信号202が入力される場合(K,Nは2以上の整数)、BIST回路112には、K*N個の信号生成回路131と、K個のクロック選択回路132と、1個の制御回路133と、1個の選択回路134とが設けられる。これらの信号生成回路131、クロック選択回路132、制御回路133、及び選択回路134の動作態様はそれぞれ、上述の動作態様と同様である。第1,第2,,,第Kの各々のメモリ111のポート121の個数は、同じ個数に統一されていてもいなくてもよい。
以上のように、本実施例によれば、2つ以上のメモリ111のテストを、簡単な構成の1つのBIST回路112で行うことができるようになる。複数のメモリ111のテストを1つのBIST回路112で行う場合、本実施例では、信号生成回路131やクロック選択回路132は複数必要であるが、制御回路133は1つで十分である。これにより、本実施例によれば、BIST回路112の複雑化を最小限にしつつ、複数のメモリ111のテストを1つのBIST回路112で実行可能な集積回路装置101を実現することができる。なお、クロック信号源が共通するメモリ同士については、信号生成回路131やクロック選択回路132を共通化できるので、BIST回路112の複雑化を更に抑えることが可能である。
第1実施例の集積回路装置の回路構成図である。 書き込み用のポートのピン構成の具体例を説明するための図である。 読み出し用のポートのピン構成の具体例を説明するための図である。 単純なテストアルゴリズムの具体例を説明するための図である。 複雑なテストアルゴリズムの具体例を説明するための図である。 図3に対応する状態遷移図である。 図4に対応する状態遷移図である。 クロック要求信号及びクロック信号の波形図である。 パルスキャンセル回路の回路構成図の具体例である。 第2実施例の集積回路装置の回路構成図である。
符号の説明
101 集積回路装置
111 メモリ
112 BIST回路
113 システム論理
114 クロック生成回路
121 ポート
131 信号生成回路
132 クロック選択回路
133 制御回路
134 選択回路
141 アドレス生成回路
142 データ生成回路
143 制御信号生成回路
144 パルスキャンセル回路
201 システム信号
202 クロック信号
211 BIST信号
212 クロック要求信号
213 制御信号
221 アドレス信号
222 データ信号
223 制御信号

Claims (5)

  1. 第1のクロック信号が入力される第1のポートと、
    第2のクロック信号が入力される第2のポートと、
    を有するメモリと;
    前記第1のクロック信号が入力され、前記第1のクロック信号に基づいて動作し、前記メモリをテストするための信号を生成し出力する第1の信号生成回路と、
    前記第2のクロック信号が入力され、前記第2のクロック信号に基づいて動作し、前記メモリをテストするための信号を生成し出力する第2の信号生成回路と、
    前記第1及び第2のクロック信号が入力され、入力されたクロック信号の内のいずれか1つを選択し出力するクロック選択回路と、
    前記第1又は第2のクロック信号を要求するクロック要求信号を前記クロック選択回路に出力し、前記クロック選択回路により選択され出力されたクロック信号に基づいて動作し、前記第1又は第2の信号生成回路を制御するための制御信号を出力する制御回路と、
    を有する組み込み自己テスト回路と;
    を備えることを特徴とする集積回路装置。
  2. 前記制御回路は、前記クロック要求信号で要求するクロック信号の切り替えを、現在のクロック信号の立ち上がり又は立ち下がりを基準として行うことを特徴とする請求項1に記載の集積回路装置。
  3. 前記クロック選択回路は、パルス幅が閾値以下又は閾値未満のパルスをキャンセルするパルスキャンセル回路を有することを特徴とする請求項1又は2に記載の集積回路装置。
  4. 前記第1及び第2の信号生成回路はそれぞれ、アドレス信号を生成し出力するアドレス生成回路と、データ信号を生成し出力するデータ生成回路と、前記メモリ用の制御信号を生成し出力する制御信号生成回路とを有することを特徴とする請求項1乃至3のいずれか1項に記載の集積回路装置。
  5. 第1のクロック信号が入力される第1のポートと、
    第2のクロック信号が入力される第2のポートと、
    を有する第1のメモリと;
    第3のクロック信号が入力される第3のポートと、
    第4のクロック信号が入力される第4のポートと、
    を有する第2のメモリと;
    前記第1のクロック信号が入力され、前記第1のクロック信号に基づいて動作し、前記第1のメモリをテストするための信号を生成し出力する第1の信号生成回路と、
    前記第2のクロック信号が入力され、前記第2のクロック信号に基づいて動作し、前記第1のメモリをテストするための信号を生成し出力する第2の信号生成回路と、
    前記第3のクロック信号が入力され、前記第3のクロック信号に基づいて動作し、前記第2のメモリをテストするための信号を生成し出力する第3の信号生成回路と、
    前記第4のクロック信号が入力され、前記第4のクロック信号に基づいて動作し、前記第2のメモリをテストするための信号を生成し出力する第4の信号生成回路と、
    前記第1及び第2のクロック信号が入力され、入力されたクロック信号の内のいずれか1つを選択し出力する第1のクロック選択回路と、
    前記第3及び第4のクロック信号が入力され、入力されたクロック信号の内のいずれか1つを選択し出力する第2のクロック選択回路と、
    前記第1のクロック選択回路により選択され出力されたクロック信号及び前記第2のクロック選択回路により選択され出力されたクロック信号が入力され、入力されたクロック信号の内のいずれか1つを選択し出力する選択回路と、
    前記第1又は第2のクロック信号を要求するクロック要求信号を前記第1のクロック選択回路に出力し、前記第3又は第4のクロック信号を要求するクロック要求信号を前記第2のクロック選択回路に出力し、前記選択回路により選択され出力されたクロック信号に基づいて動作し、前記第1、第2、第3、又は第4の信号生成回路を制御するための制御信号を出力する制御回路と、
    を有する組み込み自己テスト回路と;
    を備えることを特徴とする集積回路装置。
JP2006305796A 2006-11-10 2006-11-10 集積回路装置 Expired - Fee Related JP4919768B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2006305796A JP4919768B2 (ja) 2006-11-10 2006-11-10 集積回路装置
US11/936,212 US7783942B2 (en) 2006-11-10 2007-11-07 Integrated circuit device with built-in self test (BIST) circuit
US11/936,836 US8592139B2 (en) 2006-11-10 2007-11-08 Test method using cells and test kit therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006305796A JP4919768B2 (ja) 2006-11-10 2006-11-10 集積回路装置

Publications (2)

Publication Number Publication Date
JP2008123604A JP2008123604A (ja) 2008-05-29
JP4919768B2 true JP4919768B2 (ja) 2012-04-18

Family

ID=39369050

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006305796A Expired - Fee Related JP4919768B2 (ja) 2006-11-10 2006-11-10 集積回路装置

Country Status (2)

Country Link
US (1) US7783942B2 (ja)
JP (1) JP4919768B2 (ja)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8271827B2 (en) * 2007-12-10 2012-09-18 Qimonda Memory system with extended memory density capability
US8103918B2 (en) * 2008-03-25 2012-01-24 Arm Limited Clock control during self-test of multi port memory
KR101180405B1 (ko) * 2010-09-03 2012-09-10 에스케이하이닉스 주식회사 반도체 메모리 장치 및 이의 테스트 방법

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02184111A (ja) * 1989-01-11 1990-07-18 Toshiba Corp 信号選択回路
JPH04214290A (ja) * 1990-12-12 1992-08-05 Mitsubishi Electric Corp 半導体記憶装置
US5357146A (en) * 1992-12-31 1994-10-18 At&T Bell Laboratories Glitch-free clock multiplexer
US6671842B1 (en) 1999-10-21 2003-12-30 Lsi Logic Corporation Asynchronous bist for embedded multiport memories
US6681358B1 (en) * 2000-02-22 2004-01-20 Lsi Logic Corporation Parallel testing of a multiport memory
US6675336B1 (en) 2000-06-13 2004-01-06 Cypress Semiconductor Corp. Distributed test architecture for multiport RAMs or other circuitry
JP2002117683A (ja) * 2000-10-04 2002-04-19 Sony Corp クロック切り換え回路およびこれを有する記憶装置
US6563751B1 (en) * 2000-12-29 2003-05-13 Nortel Networks Limited System and method for testing TDM sRAMs
US6865701B1 (en) * 2001-03-29 2005-03-08 Apple Computer, Inc. Method and apparatus for improved memory core testing
CA2345605A1 (en) * 2001-04-30 2002-10-30 Robert A. Abbott Method of testing embedded memory array and embedded memory controller for use therewith
KR100439044B1 (ko) * 2001-06-29 2004-07-05 주식회사 하이닉스반도체 어드레스 천이 검출회로
JP3854159B2 (ja) * 2002-01-23 2006-12-06 沖電気工業株式会社 デュアルポートram
US6988251B2 (en) * 2003-10-14 2006-01-17 Lsi Logic Corporation Efficient implementation of multiple clock domain accesses to diffused memories in structured ASICs
US7194670B2 (en) * 2004-02-13 2007-03-20 International Business Machines Corp. Command multiplier for built-in-self-test
JP4153882B2 (ja) * 2004-02-17 2008-09-24 株式会社東芝 半導体集積回路装置
JP4157066B2 (ja) 2004-03-29 2008-09-24 株式会社東芝 半導体集積回路
JP4044075B2 (ja) 2004-06-14 2008-02-06 株式会社東芝 半導体集積回路の試験回路及び試験方法
US7183798B1 (en) * 2005-01-24 2007-02-27 Lattice Semiconductor Corporation Synchronous memory
US7506225B2 (en) * 2005-10-14 2009-03-17 International Business Machines Corporation Scanned memory testing of multi-port memory arrays
JP5086577B2 (ja) * 2006-07-28 2012-11-28 株式会社日立超エル・エス・アイ・システムズ 半導体装置

Also Published As

Publication number Publication date
US20080112241A1 (en) 2008-05-15
JP2008123604A (ja) 2008-05-29
US7783942B2 (en) 2010-08-24

Similar Documents

Publication Publication Date Title
JP5256840B2 (ja) 論理回路
JP4751216B2 (ja) 半導体集積回路及びその設計装置
KR100706240B1 (ko) 하나의 테스트 핀을 사용하여 테스트 할 수 있는 시스템온 칩 및 테스트 방법
US7941720B2 (en) Scan test circuit and scan test control method
KR100597771B1 (ko) 메모리용 테스트 회로
US20060195742A1 (en) Semiconductor memory device and method of testing the same
JP2008216185A (ja) Scanテスト回路、半導体集積回路及びスキャンイネーブル信号タイミング制御回路部
US20050273678A1 (en) Test apparatus for testing an integrated circuit
JP4919768B2 (ja) 集積回路装置
JP2007205933A (ja) 半導体集積回路
JP2005300308A (ja) 半導体集積回路
JP5179861B2 (ja) 半導体装置
JP2017199445A (ja) メモリテストシステム及び半導体装置、並びにメモリテスト方法
JP4724774B2 (ja) 半導体回路装置、メモリテスト回路及び半導体回路装置の試験方法
KR20060068187A (ko) 반도체 메모리 장치내의 클럭 주파수 선택방법 및 이를이용한 클럭 주파수 선택기
JP2006058242A (ja) 集積回路
JP2006073917A (ja) 集積回路
US8539327B2 (en) Semiconductor integrated circuit for testing logic circuit
JP2006155682A (ja) Lsiテスト回路
JP5625241B2 (ja) 半導体装置及びその試験方法
JP4650928B2 (ja) スキャンフリップフロップ回路とこれを用いたスキャンテスト回路およびテスト設計手法
JP4869911B2 (ja) ロジックbist回路及びモジュロ回路
US20070300112A1 (en) Semiconductor integrated circuit apparatus, test circuit of semiconductor integrated circuit apparatus and test method of semiconductor integrated circuit apparatus
JP2011002377A (ja) 半導体装置及び半導体装置の試験方法
JP2008070317A (ja) 位相調整回路およびテスト装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090318

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111209

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120104

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120131

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150210

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees