JP4886767B2 - 多周波電磁放射線を用いて薄膜内の引張応力を増大させる方法 - Google Patents

多周波電磁放射線を用いて薄膜内の引張応力を増大させる方法 Download PDF

Info

Publication number
JP4886767B2
JP4886767B2 JP2008504045A JP2008504045A JP4886767B2 JP 4886767 B2 JP4886767 B2 JP 4886767B2 JP 2008504045 A JP2008504045 A JP 2008504045A JP 2008504045 A JP2008504045 A JP 2008504045A JP 4886767 B2 JP4886767 B2 JP 4886767B2
Authority
JP
Japan
Prior art keywords
sin film
substrate
tensile stress
electromagnetic radiation
gpa
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008504045A
Other languages
English (en)
Other versions
JP2008536303A (ja
JP2008536303A5 (ja
Inventor
真信 井下田
ワイダ,コリー
リューシンク,ヘルト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2008536303A publication Critical patent/JP2008536303A/ja
Publication of JP2008536303A5 publication Critical patent/JP2008536303A5/ja
Application granted granted Critical
Publication of JP4886767B2 publication Critical patent/JP4886767B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は半導体処理に関し、より具体的には、薄膜内の引張応力を増大させる方法及びシステムに関する。
窒化シリコン(SiN)膜は半導体デバイス及び超大規模集積回路にて幅広く使用されている。例えば、SiN膜は、多くの用途の中でもとりわけ、ドーパントの拡散障壁、微細な造形物のエッチングにおけるエッチング停止膜、及び製造されたデバイスの封止のための最後の保護膜として、半導体デバイスにて幅広く使用されている。
SiN膜は、多様な処理システム及び処理ガスを用いて、低圧又は大気圧にて堆積されることが可能である。これらの処理システムは、例えば、熱的化学気相堆積(TCVD)、プラズマ化学気相堆積(PECVD)又はリモートPECVDを行うことができる。ここで、リモートPECVDにおいては、処理される基板はプラズマに直接的に接触するようには置かれず、とりわけ、プラズマ放電の下流に置かれる。デバイス品質のSiN膜は、シラン(SiH)とアンモニア(NH)若しくは窒素(N)とを用いるPECVD、又はジクロロシラン(SiHCl)とNHとを用いる熱的CVDにより堆積されている。
堆積されたSiN膜はしばしば応力を受けている。この応力は圧縮応力又は引張応力の何れともなり得るものであり、堆積法、ガス混合、堆積速度、基板温度、SiN膜の水素含有率、イオン衝撃、又はその他のプロセスパラメータに応じて変わり得る。SiN膜では約1GPaを超える引張応力が観測されている。PECVD法においては、膜の密度を高め、より大きい圧縮応力を生じさせるために、SiN膜のイオン衝撃が使用され得る。SiN保護膜の大きい引張応力は、このSiN保護膜と下地の基板との間に大きい応力を生じさせ得る。一例において、ゲートスタックを含むn型金属酸化物半導体(NMOS)デバイスを大きい引張応力のSiN膜を用いて覆うことは、NMOS構造内に引張チャネル歪みを生じさせ、それにより電子移動度及びデバイスのスピードが高められることが示された。また、引張応力の増大に応じて電子衝撃及び/又はアニール中にSiN膜内の結合水素の量が減少することが観測された。
本発明は、大きい引張応力を有するSiN膜を形成する方法及びシステムを提供することを目的とする。
大きい引張応力を有するSiN膜を形成するための方法及びシステムが提供される。
窒化膜の引張応力を増大させる方法は、水素を含有するSiN膜が上に形成された基板を設ける設置工程、及び水素含有率を低下させて前記SiN膜の引張応力を増大させるように、約500nm未満の波長群に相当する周波数群を含む多周波電磁放射線に前記SiN膜を曝す曝露工程を有する。
処理システムは、処理チャンバー、前記チャンバー内に配置された基板ホルダー、及び前記基板ホルダー上の基板を照射するように、約500nm未満の波長群に相当する周波数群を有する多周波電磁放射線を前記チャンバー内に生成する電磁放射線源を有する。
半導体デバイスは、基板、及び前記基板上に配置されたSiN膜を有する。配置されるSiN膜は水素を含有する。そして、SiN膜は、水素含有率を低下させてSiN膜の引張応力を増大させるように、約500nm未満の波長群に相当する周波数群を含む多周波電磁放射線に曝される。
以下の記載においては、本発明の完全な理解を助けるため、また、限定目的ではなく説明目的で、プラズマ処理システムの具体的な幾何学構成や様々な構成要素の記載などの具体的な詳細事項が説明される。しかしながら、本発明はこれら具体的な詳細事項を逸脱する他の実施形態において実施されてもよいことは理解されるべきである。
図面を参照するに、図1は、本発明の一実施形態に従ったSiN膜を含むMOSデバイスの断面図を概略的に示している。デバイス100は基板112を含んでおり、基板112はドープト領域113及び114(例えば、ソース及びドレイン)、ゲートスタック120、スペーサ121、及びSiN保護膜122を有している。基板112は、例えば、Si、Ge、Si/Ge、又はGaAsを含み得る。基板(ウェハ)112は如何なる大きさであってもよく、例えば、200mm基板、300mm基板、又は更に大きい基板であってもよい。
ゲートスタック120はチャネル領域115上に誘電体層116を含んでいる。誘電体層116は、例えば、酸化膜(例えば、SiO)、窒化膜(例えば、SiN)若しくは酸窒化膜(例えば、SiO)、又はこれら若しくはその他の適当な材料の組み合わせを含み得る。誘電体層116は更に、高誘電率(high−k)誘電体材料を含んでいてもよい。high−k誘電体材料は、例えば、Ta、TiO、ZrO、Al、Y、HfSiO、HfO、ZrO、ZrSiO、TaSiO、SrO、SrSiO、LaO、LaSiO、YO若しくはYSiO、又はこれらの2つ以上の組み合わせを含む、金属酸化物及びそれらのケイ酸塩を含み得る。誘電体層116上に導電層117が形成され、導電層117の電気抵抗を低減するように導電層117上にシリサイド層118が形成されている。ゲートスタック120の頂部にはゲート120を保護するキャップ層119が配置されている。キャップ層119は例えばSiNとし得る。
一例において、導電層117はドープトポリシリコンとすることができ、シリサイド層118はタングステンシリサイドとすることができる。当業者に認識されるように、ゲートスタック120は、図1に示されたのとは異なる層や、より少ない或いは多い層から成っていてもよい。一例において、層117及び/又は118は金属ゲート層で置き換えられてもよい。
図1は更に、ゲート120をダメージから保護し且つ該ゲートの電気的性能を確保するためにゲート120のそれぞれの側面に形成されたスペーサ121を示している。さらに、スペーサ121はMOSデバイス100のソース・ドレイン113、114を形成するためのハードマスクとしても使用可能である。他の例では、1つより多いスペーサ121が用いられてもよい。デバイス100は更に、基板112上に堆積されたSiN保護膜122を含んでいる。当業者に認識されるように、このSiN膜は様々なSi/N比を有することができる。本発明の一実施形態によれば、堆積されたSiN保護膜122は高い水素含有率を有している。一例において、水素含有率は約10原子%と約50原子%との間とし得る。他の一例においては、水素含有率は約20原子%と約40原子%との間とし得る。堆積されたSiN保護膜122は、例えば約1GPa又はそれ以上といった、大きい引張応力を有し得る。このような膜は、低圧化学気相堆積法(LPCVD)を用いて形成されることが可能である。米国特許第6429135号明細書が参照され、該文献の内容は参照することによりここに組み込まれる。他の例では、このような膜は、およそ100℃から500℃の基板温度にて、窒素、ヘリウム及びシランを含む処理ガスを用いる大気圧リモートPECVD法によって形成されてもよい。G.R.Nowling等による「Remote Plasma−Enhanced Chemical Vapour Deposition of Silicon Nitride at Atmospheric Pressure」(Plasma Source Sci. Technol. 2002年、11巻、p.97−103)、及び「Plasma−Enhanced CVD of Silicon Nitride」(http://www.timedomaincvd.com/CVD_Fundamentals/films/SiN_plasma_CVD.html)が参照可能である。
本発明の実施形態により、SiN保護膜122の水素含有率を低減し、その引張応力を増大させる方法が提供される。増大された引張応力はMOS構造のチャネル(例えば、図1のチャネル115)内に引張歪みを生じさせることができ、それにより電子移動度及びデバイス100のスピードが高められ得る。
図1において、SiN保護膜122の水素含有率を低下させて引張応力を増大させるため、MOSデバイス100は電磁放射線124に曝されている。電磁放射線は、光子の形態の放射エネルギーを含んでおり、エネルギーが小さくなる順に、ガンマ線、X線、紫外線(UV)、可視光、赤外エネルギー、マイクロ波放射線、及び電波が含まれる。本発明の一実施形態によれば、デバイス100を電磁放射線124に曝すことによりSiN膜122の水素含有率が低減され、それによりSiN膜122の引張応力が増大される。本発明の一実施形態によれば、この曝露工程はデバイス100のアニールと組み合わされてもよい。すなわち、曝露工程の前、最中、及び/又は後にアニールが行われてもよい。
本発明の一実施形態によれば、電磁放射線124は、約500nm未満の波長群に相当する周波数群を有する多周波電磁放射線とし得る。本発明の他の一実施形態によれば、電磁放射線は約500nmと約125nmとの間にある波長群を含んでいてもよい。あるいは、電磁放射線は紫外域の波長群を含んでいてもよい。多周波電磁放射線の成分群を発生するために使用可能な電磁放射線の例には、Xe(172nm)、KrCl(222nm)、KrF(248nm)、F(157nm)、ArF(193nm)、XeCl(308nm)又はXeF(351nm)エキシマランプが含まれる。
本発明の一実施形態によれば、電磁放射線124はほぼ等方的な(すなわち、強い指向性を有しない)拡散放射線とし得る。デバイス100を拡散放射線に曝すことにより、SiN膜122の水素含有率が実質的に等方的に低減され、それによりSiN膜122の横方向及び縦方向の領域の引張応力が非選択的に増大される。基板を拡散放射線に曝すように構成された処理システムは図4に示されている(これについては、より詳細に後述する)。
一例として、パターニングされていない基板上の一様な水素含有SiN膜を、172nmの波長を有する50mW/cmの拡散電磁放射線に曝した。SiN膜の引張応力は、電磁放射線に曝されることにより、約1.2GPaから約1.6GPaに増大した。
本発明の一実施形態によれば、電磁放射線124は平行放射線、すなわち、放射線源からの全ての電磁光線が互いに実質的に平行である放射線とし得る。縦方向に平行にされた放射線にデバイス100を曝すことにより、SiN膜122の水素含有率が実質的に非等方的に低減され、それによりSiN膜122の縦方向の領域に対してSiN膜122の横方向の領域の引張応力が選択的に増大される。基板を平行放射線に曝すように構成された処理システムは図5に示されている(これについては、より詳細に後述する)。
図2は、本発明の一実施形態に従って基板を多周波電磁放射線に曝すフロー図である。プロセス200は、工程202にて、水素を含有するSiN膜が上に形成された基板を設けることを含んでいる。工程204にて、水素含有率を低下させてSiN膜の引張応力を増大させるよう、SiN膜は多周波電磁放射線に曝される。この曝露工程は、所定の処理条件下で、所望の水素除去及びSiN膜の所望の引張応力を生じさせる時間にわたって行われ得る。水素を除去するプロセスレシピは直接実験及び/又は実験計画法(DOE)によって決定されることが可能である。本発明の実施形態に従って基板を処理した後、SiN膜の水素含有率及び/又は引張応力は測定されることができる。
図3は、本発明の一実施形態に従ってゲートスタックを処理するフロー図である。プロセス300は、工程302にて、水素を含有するSiN膜が上に形成された基板を設けることを含んでいる。工程304にて、非等方的に水素含有率を低下させてSiN膜の引張応力を増大させるよう、SiN膜は平行電磁放射線に曝される。この曝露工程は、所定の処理条件下で、所望の非等方的な水素除去及びSiN膜の所望の引張応力を生じさせる時間にわたって行われ得る。水素を除去するプロセスレシピは直接実験及び/又は実験計画法(DOE)によって決定されることが可能である。本発明の実施形態に従って基板を処理した後、SiN膜の水素含有率及び/又は引張応力を測定することができる。
図2及び3に示されたプロセス200及び300は更に、SiN膜を電磁放射線に曝す工程の前、最中、及び/又は後に、基板をアニールするアニール工程を含んでいてもよい。このアニール工程は、例えば、SiN膜の水素含有率を更に低下させるために行われてもよい。アニール温度は、例えば、約200℃と約1000℃との間とし得る。他の例では、アニール温度は約400℃と約700℃との間とし得る。
当業者に認識されるように、図2及び3のフローチャート内の工程又は段階の各々は、1つ又は複数の別個の処理を含んでいてもよい。従って、202と204、又は302と304という2つの処理のみを列挙していることは、本発明に係る方法を専ら2つの処理に限定するように理解されるべきではない。また、典型的な処理202、204、又は302、304の各々は単一プロセスに限定されるように理解されるべきではない。当然ながら、プロセス300が如何なる基板又は構造にも用いられ得るであろうように、プロセス200はゲートスタック構造又は他の如何なる構造にも用いられ得る。
段階202と204、又は段階302と304は、何らかの厚さのSiN膜を形成するのに望まれる回数だけ繰り返され得る。例えば、段階202と204、又は段階302と304は、約10Åから約50Åの厚さを有するSiN膜を設けるために用いられ得る。そして、段階202と204、又は段階302と304は、約10Åから約50Åの厚さを有する第2のSiN膜を堆積するために繰り返され得る。段階202と204、又は段階302と304を繰り返すことにより、SiN膜は例えば約100Åから約1μmといった任意の所望厚さまで構築され得る。
図4は、本発明の一実施形態に従った処理システムを概略的に示している。処理システム1は処理チャンバー10を含んでおり、処理チャンバー10は、SiN膜を含む基板25を支持するように構成された基板ホルダー20を有している。処理チャンバー10は更に、基板25を電磁放射線に曝すための電磁放射手段30を含んでいる。さらに、処理システム1は、電磁放射手段30に結合された電源50と、基板ホルダー20に結合され且つ基板25の温度を制御するように構成された基板温度制御系60とを含んでいる。ガス供給系40が処理チャンバー10に結合されており、処理チャンバー10に処理ガスを導入するように構成されている。処理ガスは、例えば窒素又は希ガス(すなわち、ヘリウム、ネオン、アルゴン、キセノン、クリプトン)等の不活性ガスを含み得る。他の例では、処理ガスは用いられなくてもよい。
図4の電磁放射手段30は基板25を、ほぼ等方的な(すなわち、強い指向性を有しない)拡散放射線45に曝すように構成されている。言い換えれば、拡散放射線45は主として或る特定方向から基板25に入射するわけではない。拡散放射線を発生することが可能な電磁放射手段は当業者に周知である。例えば、電磁放射手段30は約10mW/cmと約1000mW/cmとの間の出力を発生可能である。本発明の他の一実施形態によれば、出力は約50mW/cmと約500mW/cmとの間とし得る。本発明の一実施形態によれば、電磁放射線45の波長は約500nm未満とし得る。本発明の他の一実施形態によれば、この波長は約500nmと約125nmとの間とし得る。
なおも図4を参照するに、処理システム1は200mm基板、300mm基板又はそれより大きいサイズの基板を処理するように構成されていてもよい。実際、当業者に認識されるように、処理システムは基板、ウェハ又はLCDをそれらのサイズに関わりなく処理するように構成されることが意図される。故に、本発明の態様は半導体基板の処理に関連させて説明されるが、本発明はそれのみに限定されるものではない。
温度制御系60は、例えば、冷却時に基板ホルダー20から熱を受け取って熱交換系(図示せず)に熱を伝達し、あるいは加熱時に熱交換系から熱を伝達する冷却剤循環系などの温度制御素子を有している。さらに、温度制御素子は、例えば抵抗加熱素子又は熱電加熱器/冷却器などの、基板ホルダー20や処理チャンバー10のチャンバー壁及び処理システム1内のその他の何らかの構成要素に備えられ得る加熱/冷却素子を含むことができる。
基板25と基板ホルダー20との間の熱伝達を向上させるため、基板ホルダー20は、基板25を基板ホルダー20の頂面に貼り付ける、機械的な取付手段、又は例えば静電クランピングシステム等の電気的な取付手段を含んでいてもよい。また、基板ホルダー20は更に、基板25と基板ホルダー20との間のガスギャップの熱伝導を向上させるため、基板25の裏面側にガスを導入するように構成された基板裏面側ガス配給系を含んでいてもよい。このガス配給系は加熱温度又は冷却温度での基板の温度制御が必要とされるときに用いられ得る。例えば、基板裏面側ガス配給系は、ヘリウムガスのギャップ圧が基板25の中心部とエッジ部とで独立して変化させられ得るような2区画のガス配給系を有していてもよい。
また、処理チャンバー10は更に、ダクト38を介して圧力制御系32に結合されることが可能である。圧力制御系32は、例えば、真空ポンプ系34及びバルブ36を含んでおり、基板25上に薄膜を形成するのに適し、また第1及び第2の加工材料の使用に適した圧力まで処理チャンバーを制御可能に排気するように構成されている。
真空ポンプ系34は、最大で毎秒5000リットルの(及び、これより大きい)ポンピング速度が可能なターボ分子真空ポンプ(TMP)を含むことができ、バルブ36はチャンバー圧力を絞るための仕切り弁を含むことができる。ドライプラズマエッチング用に使用される従来からのプラズマ処理装置においては、毎秒1000から3000リットルのTMPが一般的に使用される。さらに、処理チャンバー10にチャンバー圧力を監視する装置(図示せず)が結合されてもよい。圧力測定装置は、例えば、MKSインスツルメント社から商業的に入手可能な628B型バラトロン(Baratron)絶対静電容量式圧力計とし得る。
また、処理システム1は、処理チャンバー10、基板ホルダー20、電磁放射手段30、電源50、及び基板温度制御系60に結合されたコントローラ70を含んでいる。これに代えて、或いは加えて、コントローラ70は1つ以上の更なるコントローラ/コンピュータ(図示せず)に結合されることができ、コントローラ70は更なるコントローラ/コンピュータから設定及び/又は構成情報を得ることができる。
図4においては単数の処理用要素(10、20、30、50、60及び70)が示されているが、このことは本発明に必要なことではない。処理システム1は、独立した処理用要素に加え、何らかの数のコントローラに伴われる如何なる数の処理用要素を有していてもよい。
コントローラ70は何らかの数の処理用要素(10、20、30、50及び60)を設定するために使用されることができ、また、処理用要素からのデータを収集し、提供し、処理し、記憶し、表示することができる。コントローラ70は処理用要素の1つ以上を制御する多数のアプリケーションを有し得る。例えば、コントローラ70は、ユーザが1つ又は複数の処理用要素の監視及び/又は制御を行うことを可能にする使い易いインターフェースを提供し得るグラフィック・ユーザ・インターフェース(GUI)要素(図示せず)を含み得る。
コントローラ70はマイクロプロセッサ、メモリー及び処理システム1からの出力を監視するとともに、処理システム1への入力を伝達しアクティブにするのに十分な制御電圧を生成することが可能なデジタル入/出力ポートを含んでいる。例えば、メモリー内に格納されたプログラムが、プロセスを実行するためにプロセスレシピに従って、処理システム1の上述の要素への入力をアクティブにするために使用されてもよい。コントローラ70の一例はデル社から入手可能なDELL PRECISION WORKSTATION610(登録商標)である。
コントローラ70は、処理システム1に対してローカルに位置付けられてもよいし、あるいは処理システム1に対して遠隔に位置付けられてもよい。例えば、コントローラ70は、直接接続、イントラネット、インターネット及び無線接続の少なくとも1つを用いて堆積システム1とデータを交換してもよい。コントローラ70は、例えば顧客側(すなわち、デバイスメーカー等)のイントラネットに結合されていてもよいし、例えば製造供給元(すなわち、装置製造者)のイントラネットに結合されていてもよい。さらに、例えば、コントローラ70はインターネットに結合されていてもよい。また、他のコンピュータ(すなわち、コントローラ、サーバ等)が、例えば、直接接続、イントラネット及びインターネットの少なくとも1つを介してデータ交換するために、コントローラ70にアクセスしてもよい。当業者に認識されるように、コントローラ70は処理システム1と無線接続を介してデータ交換してもよい。
処理条件には更に、約0℃と約1000℃との間の基板温度が含まれる。他の例では、基板温度は約200℃と約1000℃との間、又は約400℃と約700℃との間とし得る。処理チャンバー10内の圧力は、例えば、約10−5Torr未満と約3000mTorrとの間に維持され得る。他の例では、圧力は約20mTorrと約1000mTorrとの間に維持され得る。更に他の例では、圧力は約50mTorrと約500mTorrとの間に維持され得る。約10−5Torr又はそれより低い圧力といった非常に低い圧力においては、処理ガスが用いられ得る。他の例では、処理ガスは用いられない。
図5は、本発明の一実施形態に従った処理システムを概略的に示している。図5に示された処理システム2は、図4に示された処理システム1に似ているが、互いに実質的に平行な電磁光線を有する平行放射線46に基板25を曝すように構成された電磁放射手段31を含んでいる。平行放射線を発生することが可能な電磁放射手段は当業者に周知である。例えば、平行放射線46は、電磁放射手段31内に格納された1つ以上の放射線源からの拡散放射線を、集光レンズ又は例えば1つ以上の調節板(バッフル)等のその他の装置を用いて平行にすることによって形成されることができる。例えば、電磁放射手段31は約10mW/cmと約1000mW/cmとの間の出力を発生可能である。本発明の他の一実施形態によれば、出力は約50mW/cmと約500mW/cmとの間とし得る。本発明の一実施形態によれば、電磁放射線46の波長は約500nm未満とし得る。本発明の他の一実施形態によれば、この波長は約275nmと約125nmとの間とし得る。
本発明を実施するに当たっては本発明の様々な変更例及び変形例が用いられ得る。故に、添付の請求項の範囲内で、本発明はここに具体的に記載されたものとは別の手法で実施され得ることは理解されるであろう。
本発明の一実施形態に従った大きい引張応力のSiN膜を含むMOSデバイスを概略的に示す断面図である。 本発明の一実施形態に従って基板を電磁放射線に曝すフロー図である。 本発明の他の一実施形態に従って基板を平行電磁放射線に曝すフロー図である。 本発明の一実施形態に従った処理システムを示す概略図である。 本発明の他の一実施形態に従った処理システムを示す概略図である。

Claims (23)

  1. 窒化膜の引張応力を増大させる方法であって:
    水素を含有するSiN膜が上に形成された基板を設ける設置工程;及び
    水素含有率を低下させて前記SiN膜の引張応力を増大させるように、125nmと275nmとの間の波長群に相当する周波数群を含む多周波電磁放射線に前記SiN膜を曝す曝露工程;
    を有する方法。
  2. 前記電磁放射線は157nm、172nm、193nm、222nm、若しくは248nmの波長、又はこれらの2つ以上の組み合わせを含む、請求項1に記載の方法。
  3. 前記電磁放射線の強度は10mW/cm と1000mW/cmとの間である、請求項1に記載の方法。
  4. 前記電磁放射線の強度は50mW/cm と500mW/cmとの間である、請求項1に記載の方法。
  5. 前記設置工程は、1GPaと1.5GPaとの間の引張応力を有するSiN膜を設けることを有する、請求項1に記載の方法。
  6. 前記曝露工程は、1.5GPaより大きい引張応力を有するSiN膜を形成することを有する、請求項5に記載の方法。
  7. 前記曝露工程は、1.5GPaと3GPaとの間の引張応力を有するSiN膜を形成することを有する、請求項5に記載の方法。
  8. 前記基板は更に、該基板に形成された少なくとも1つのドープト領域及びゲートスタックを含むデバイスを有する、請求項1に記載の方法。
  9. 前記曝露工程の前、最中若しくは後、又はこれらの2つ以上の組み合わせにおいて、前記SiN膜をアニールするアニール工程;
    を更に有する請求項1に記載の方法。
  10. 前記設置工程は、原子百分率で10%と50%との間の水素を含有するSiN膜を設けることを有する、請求項1に記載の方法。
  11. 前記設置工程は、原子百分率で20%と40%との間の水素を含有するSiN膜を設けることを有する、請求項1に記載の方法。
  12. 前記基板は200℃と1000℃との間の温度範囲内に維持される、請求項1に記載の方法。
  13. 前記基板は400℃と700℃との間の温度範囲内に維持される、請求項1に記載の方法。
  14. 前記SiN膜が所定の厚さになるまで前記設置工程と前記曝露工程とを繰り返す反復段階を更に有する請求項1に記載の方法。
  15. 前記反復段階後の前記SiN膜の厚さは100Åと1μmとの間である、請求項14に記載の方法。
  16. 前記曝露工程は1−5Torrと3000mTorrとの間の圧力で行われる、請求項1に記載の方法。
  17. 半導体デバイスを製造する方法であって:
    少なくとも1つのドープト領域を含む基板を設ける設置工程であり、該基板は更に、該基板上に形成されたゲートスタックと、該ゲートスタック上に形成された、水素を含有するSiN膜とを含む、設置工程;及び
    前記SiN膜を、水素含有率を低下させて前記SiN膜の引張応力を増大させるように、125nmと275nmとの間の波長群に相当する周波数群を含む多周波電磁放射線に曝す曝露工程;
    を有する方法。
  18. 前記曝露工程前の前記SiN膜は1GPaと1.5GPaとの間の引張応力を有する、請求項17に記載の方法。
  19. 前記曝露工程後の前記SiN膜は1.5GPaより大きい引張応力を有する、請求項18に記載の方法。
  20. 前記曝露工程後の前記SiN膜は1.5GPaと3GPaとの間の引張応力を有する、請求項18に記載の方法。
  21. 前記曝露工程前の前記SiN膜は原子百分率で10%と50%との間の水素を含有する、請求項17に記載の方法。
  22. 前記曝露工程前の前記SiN膜は原子百分率で20%と40%との間の水素を含有する、請求項17に記載の方法。
  23. 前記SiN膜の厚さは100Åと1μmとの間である、請求項17に記載の方法。
JP2008504045A 2005-03-29 2006-02-16 多周波電磁放射線を用いて薄膜内の引張応力を増大させる方法 Expired - Fee Related JP4886767B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/091,755 2005-03-29
US11/091,755 US7300891B2 (en) 2005-03-29 2005-03-29 Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation
PCT/US2006/005433 WO2006104583A2 (en) 2005-03-29 2006-02-16 Method and system for increasing tensile stress in a thin film using multi-frequency electromagnetic radiation

Publications (3)

Publication Number Publication Date
JP2008536303A JP2008536303A (ja) 2008-09-04
JP2008536303A5 JP2008536303A5 (ja) 2009-04-02
JP4886767B2 true JP4886767B2 (ja) 2012-02-29

Family

ID=37053842

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008504045A Expired - Fee Related JP4886767B2 (ja) 2005-03-29 2006-02-16 多周波電磁放射線を用いて薄膜内の引張応力を増大させる方法

Country Status (4)

Country Link
US (1) US7300891B2 (ja)
JP (1) JP4886767B2 (ja)
TW (1) TWI311809B (ja)
WO (1) WO2006104583A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101452977B1 (ko) 2014-02-27 2014-10-22 연세대학교 산학협력단 트랜지스터, 및 트랜지스터의 스트레인 인가 방법

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8398816B1 (en) 2006-03-28 2013-03-19 Novellus Systems, Inc. Method and apparatuses for reducing porogen accumulation from a UV-cure chamber
JP2007324391A (ja) * 2006-06-01 2007-12-13 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20070296027A1 (en) * 2006-06-21 2007-12-27 International Business Machines Corporation Cmos devices comprising a continuous stressor layer with regions of opposite stresses, and methods of fabricating the same
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US7700499B2 (en) * 2007-01-19 2010-04-20 Freescale Semiconductor, Inc. Multilayer silicon nitride deposition for a semiconductor device
WO2008117431A1 (ja) * 2007-03-27 2008-10-02 Fujitsu Microelectronics Limited 半導体装置および半導体装置の製造方法
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7622162B1 (en) * 2007-06-07 2009-11-24 Novellus Systems, Inc. UV treatment of STI films for increasing tensile stress
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US8426778B1 (en) 2007-12-10 2013-04-23 Novellus Systems, Inc. Tunable-illumination reflector optics for UV cure system
JP5309619B2 (ja) * 2008-03-07 2013-10-09 ソニー株式会社 半導体装置およびその製造方法
KR101017043B1 (ko) * 2008-08-19 2011-02-23 매그나칩 반도체 유한회사 반도체 소자 및 그의 제조방법
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US8298876B2 (en) * 2009-03-27 2012-10-30 International Business Machines Corporation Methods for normalizing strain in semiconductor devices and strain normalized semiconductor devices
US8236709B2 (en) 2009-07-29 2012-08-07 International Business Machines Corporation Method of fabricating a device using low temperature anneal processes, a device and design structure
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
KR102354258B1 (ko) * 2017-07-06 2022-01-21 어플라이드 머티어리얼스, 인코포레이티드 다수의 증착된 반도체 층들의 적층체를 형성하는 방법들

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08203894A (ja) * 1995-01-30 1996-08-09 Sony Corp 半導体装置の製造方法
JPH0978245A (ja) * 1995-09-08 1997-03-25 Canon Inc 薄膜形成方法
US5786276A (en) * 1997-03-31 1998-07-28 Applied Materials, Inc. Selective plasma etching of silicon nitride in presence of silicon or silicon oxides using mixture of CH3F or CH2F2 and CF4 and O2
US6740566B2 (en) * 1999-09-17 2004-05-25 Advanced Micro Devices, Inc. Ultra-thin resist shallow trench process using high selectivity nitride etch
US6228563B1 (en) * 1999-09-17 2001-05-08 Gasonics International Corporation Method and apparatus for removing post-etch residues and other adherent matrices
JP3425579B2 (ja) * 1999-12-08 2003-07-14 Necエレクトロニクス株式会社 半導体装置の製造方法
US6485599B1 (en) * 2000-07-11 2002-11-26 International Business Machines Corporation Curing of sealants using multiple frequencies of radiation
US6429135B1 (en) * 2001-01-05 2002-08-06 United Microelectronics Corp. Method of reducing stress between a nitride silicon spacer and a substrate
US8288239B2 (en) * 2002-09-30 2012-10-16 Applied Materials, Inc. Thermal flux annealing influence of buried species
AU2003239392A1 (en) * 2002-05-29 2003-12-19 Tokyo Electron Limited Method and system for data handling, storage and manipulation
US20050217799A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Wafer heater assembly
JP2005310927A (ja) * 2004-04-20 2005-11-04 Toshiba Corp 紫外線照射による高品質シリコン窒化膜の成膜方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101452977B1 (ko) 2014-02-27 2014-10-22 연세대학교 산학협력단 트랜지스터, 및 트랜지스터의 스트레인 인가 방법

Also Published As

Publication number Publication date
JP2008536303A (ja) 2008-09-04
US20060226518A1 (en) 2006-10-12
TWI311809B (en) 2009-07-01
WO2006104583A2 (en) 2006-10-05
TW200723487A (en) 2007-06-16
WO2006104583A3 (en) 2007-11-08
US7300891B2 (en) 2007-11-27

Similar Documents

Publication Publication Date Title
JP4886767B2 (ja) 多周波電磁放射線を用いて薄膜内の引張応力を増大させる方法
JP4977686B2 (ja) 平行電磁放射線を用いて薄膜内の引張応力を増大させる方法
JP5219815B2 (ja) 引張応力を有するシリコン酸窒化膜を形成する方法
KR101350544B1 (ko) 스트레인드 실리콘 질화물막들의 형성 방법 및 이러한 막들을 포함하는 장치
KR101244850B1 (ko) 인장 응력 및 압축 응력을 받은 반도체용 재료
US9799768B2 (en) Semiconductor device and method for manufacturing same
CN101523558B (zh) 用于具有应变含锗层的器件的uv辅助电介质形成
US20060228898A1 (en) Method and system for forming a high-k dielectric layer
US20070066084A1 (en) Method and system for forming a layer with controllable spstial variation
JP2016528734A (ja) エピタキシャル成長に先立って基板表面を予洗浄するための方法及び装置
JP2010530127A5 (ja)
JP2006173327A (ja) 薄膜トランジスタとその製造方法および製造装置
JP7270740B2 (ja) 3dnand応用のためのメモリセルの製造
US7579287B2 (en) Surface treatment method, manufacturing method of semiconductor device, and manufacturing method of capacitive element
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
US8119540B2 (en) Method of forming a stressed passivation film using a microwave-assisted oxidation process
US7807586B2 (en) Method of forming a stressed passivation film using a non-ionizing electromagnetic radiation-assisted oxidation process
US7501352B2 (en) Method and system for forming an oxynitride layer
US7517814B2 (en) Method and system for forming an oxynitride layer by performing oxidation and nitridation concurrently
JP5199954B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090216

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090216

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110621

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110817

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110906

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111019

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111115

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141216

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees