JP4005738B2 - 界面調節層を利用して金属配線層を形成する半導体素子の製造方法 - Google Patents

界面調節層を利用して金属配線層を形成する半導体素子の製造方法 Download PDF

Info

Publication number
JP4005738B2
JP4005738B2 JP05700899A JP5700899A JP4005738B2 JP 4005738 B2 JP4005738 B2 JP 4005738B2 JP 05700899 A JP05700899 A JP 05700899A JP 5700899 A JP5700899 A JP 5700899A JP 4005738 B2 JP4005738 B2 JP 4005738B2
Authority
JP
Japan
Prior art keywords
layer
interface
forming
semiconductor device
manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP05700899A
Other languages
English (en)
Other versions
JP2000100753A (ja
Inventor
美 英 尹
相 忍 李
▲げん▼ 錫 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Publication of JP2000100753A publication Critical patent/JP2000100753A/ja
Application granted granted Critical
Publication of JP4005738B2 publication Critical patent/JP4005738B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は半導体素子の製造方法に係り、特に微細なコンタクトによって下部の導電層と連結される金属配線層を備えた半導体素子の製造方法に関する。
【0002】
【従来の技術】
半導体装置が高集積化に伴って、コンタクトホールは小径化され、その縦横比は徐々に大きくなっている。これにより、比較的大きな縦横比を有する微細なコンタクトホールを効率よく充填する工程が必要となった。
【0003】
コンタクトホールの充填工程として現在多く使われているPVD(Physical Vapor Deposition)方法を利用する場合には形成される膜のステップカバレージ(step coverage)が劣って微細なコンタクトホールを完全に充填することはむずかしい。これを克服するために、CVD(Chemical Vapor Deposition)方法によって金属を蒸着する方法、すなわちコンタクトホールの内部をCVD方法によってタングステンで充填してタングステンプラグを形成する方法が使われている。しかし、CVD方法によって蒸着されるタングステンプラグは比抵抗が高く、その上に形成されるアルミニウム配線層との界面反応によってコンタクト抵抗が増える短所がある。
【0004】
したがって、コンタクトホール内に比抵抗が比較的低いアルミニウムをCVD方法で蒸着してコンタクトを形成することが最も理想的である。しかし、現在使われているCVD全面蒸着(blanket deposition)方法によってアルミニウム膜を形成する場合には、その厚さが厚くなるほど表面形状が劣る問題がある。このように、表面形状が不良な膜としては完壁なコンタクトの充填が不可能である。したがって、CVD方法によって形成されるアルミニウム膜を金属配線に適用するためには表面形状を改善することが必須である。
【0005】
【発明が解決しようとする課題】
本発明の目的は大きな縦横比を有する微細なコンタクトホールを完壁に充填すると同時に優秀な表面形状を有する金属配線層を形成しうる半導体素子の製造方法を提供することにある。
【0026】
【発明が解決しようとする課題】
前記目的を達成するための本発明に係る半導体素子の製造方法では、半導体基板上に前記半導体基板の導電領域を露出させるコンタクトホールを含む層間絶縁膜を形成する。前記コンタクトホールの内壁及び前記層間絶縁膜の上部にSiが含まれるAl薄膜よりなる第1界面調節層を形成する。前記第1界面調節層上に連続的に蒸着された複数のCu原子層よりなる第2界面調節層を形成する。前記第2界面調節層上にCVD方法によってAlを全面蒸着して前記コンタクトホール内部を充填すると同時に前記層間絶縁膜の上部を覆う導電層を形成する。前記結果物をアニーリングしてSi及びCuがドーピングされたAl配線層を形成する。
【0027】
前記層間絶縁膜の形成後及び前記第1界面調節層の形成前に、前記露出された導電領域と、前記コンタクトホールにより露出される層間絶縁膜の側壁及び上面にオーミック層を形成し、前記オーミック層上に障壁層を形成する段階をさらに含む。この時、前記第1界面調節層は前記障壁層上に形成される。
【0028】
前記第1及び第2界面調節層は各々ALD、サイクリックCVDまたはデジタルCVD方法によって形成される。
【0029】
前記第1界面調節層を形成する段階では前記障壁層が形成された結果物上にSi含有ガスを供給して前記障壁層の表面にSiを吸着させる。過剰のSi含有ガスを取り除く。前記Siが吸着された結果物上にAl含有ガスを供給して前記障壁層及び吸着されたSi表面にAlを吸着させる。過剰のAl含有ガスを取り除く。前記段階を繰り返して前記障壁層上に前記Siが含まれるAl薄膜を形成する。
【0030】
好ましくは、前記障壁層はTiリッチTiN膜で形成される。
【0031】
前記Al吸着段階では前記Alソースガスの供給と同時にH2 ガスを供給できる。
【0032】
前記第2界面調節層の形成段階では(hfac)Cu(TMVS)、CuCl及びCuからなる群から選択されるいずれか一つまたはその組み合わせよりなるガスを供給して前記第1界面調節層の表面にCuを吸着させ段階と、前記吸着させる段階により得られた結果物上にパージングガスを供給して前記ガス中の過剰のガスを除去する段階と、前記Cuを吸着させる段階及び過剰のガスを除去する段階を繰り返す段階とを含む
【0033】
前記アニーリング段階は300ないし650℃の温度で行なう。
【0034】
前記第1界面調節層の形成段階、前記第2界面調節層の形成段階及び前記導電層の形成段階はインサイチュで連続的に行なわれることができる。
【0035】
前記第2界面調節層の形成段階後及び前記導電層の形成段階前に、前記第2界面調節層の表面が酸化されることを防止するための表面処理層を前記第2界面調節層上に形成する段階をさらに含むことができる。
【0036】
本発明によれば、優秀な表面形状を有するAl配線層が得られ、配線層の信頼度を向上させることができる。
【0037】
【発明の実施の形態】
以下、本発明の好ましい実施例に対して添付した図面を参照して詳しく説明する。
【0038】
図1ないし図5は本発明の第1実施例による半導体素子の製造方法を説明するために工程順序によって示した断面図である。
【0039】
図1を参照すれば、所定の導電領域12が形成された半導体基板10上に前記導電領域12を露出させるコンタクトホールH1を含む層間絶縁膜20を形成する。
【0040】
図2を参照すれば、前記露出された導電領域12と、前記コンタクトホールH1により露出される層間絶縁膜20の側壁及び上面にオーミック層32、例えばTi膜を形成し、前記オーミック層32上に障壁層(barrier layer)34、例えばTiN膜を形成する。好ましくは、前記障壁層34は通常なTiN膜よりTi含有量が高いTiリッチ(Ti−rich)TiN膜で形成する。このように形成する理由は後述する。
【0041】
図3を参照すれば、前記オーミック層32及び障壁層34で覆われたコンタクトホールH1の内壁及び前記層間絶縁膜20の上部にALD方法で連続的に蒸着された複数の原子層よりなる界面調節層42を数Åないし数十Å、好ましくは10Å未満の厚さに形成する。ここで、前記界面調節層42はSiが含まれるAl薄膜よりなる。
【0042】
ALD方法で形成される前記界面調節層42は必要なソースガス(source gas)を順次に供給して化学吸着(chemi sorption)方法によって非常に薄い膜を連続的に密度高く形成しうる。したがって、このような界面調節層42上にCVD方法でAl膜を形成すれば、そのAl膜が数千Åに厚くなっても表面形状の非常に優秀なAl膜が得られ、大きな縦横比によって段差が大きい微細なコンタクトホールを完壁に充填しうる。
【0043】
前記Siが含まれるAl薄膜よりなる界面調節層42を形成するために、まず前記障壁層34が形成された結果物上にSi含有ガスをフラッシュして前記障壁層34の表面にSiを吸着させる。前記Si含有ガスとして、例えばSiH4 を使用する。この吸着段階はSiH4 が適切に分解できる温度である400ないし900℃、好ましくは750℃の温度に行なう。Si含有ガスとしてSiH3 Cl、SiH2 Cl2 、SiHCl3 、Si2 6 またはSiCl4 を使用することも可能である。この時、前述したように前記障壁層34をTiリッチTiN膜で形成すれば、TiN膜中の過剰のTiがSiH4 から供給されるSiと反応して前記障壁層34上のSiの吸着を容易にしうる。
【0044】
続いて、前記障壁層34上に吸着されたSiを除いた残り過剰のSiH4 はパージング(purging)またはポンピング(pumping out)によって取り除く。
【0045】
その後、Siが吸着された結果物上にAl含有ガスであるTMA(trimethyl aluminum)を供給すれば、Al(CH3 )3+SiH4 →Si−Al+CH4 (↑)の反応によってTMAのメチル基がメタンに気化され、Alが障壁層34を構成するTiN及びその上に吸着されたSi上に吸着される。ここで、TMAの供給と同時にH2 ガスを共に供給すれば、Al(CH3 3 +H2 →Al+CH4 の反応が誘導されてAl蒸着をさらに容易にすることができる。
【0046】
また、前記Al含有ガスとしてDMAH(dimethyl aluminum hydride)、DMEAA(dimethyl ethyl aminealane)またはTIBA(triisobutyl aluminum)を使用することも可能である。
【0047】
次いで、過剰のTMAをパージングまたはポンピングによって取り除く。
【0048】
前述したようなSi吸着、Al蒸着段階を必要な回数だけ繰り返して均一なサイズのグレーンが高密度で形成されている複数の薄膜を連続的に蒸着することにより、Siが含まれるAl膜よりなる前記界面調節層42を得ることができる。
【0049】
CVD方法によって形成されるAl配線層ではAlの凝集(agglomeration)現像がよく発生し、Al配線層が厚くなるほど特定の核形成位置(nucleation site)で速く成長される傾向がある。したがって、前記界面調節層42を形成するにおいて蒸着速度を調節することによって、グレーン結晶化特性が優秀で核形成位置が高密度で緻密に確保することが重要である。すなわち、前記障壁層34上にALD方法で均一なサイズのAl核をランダムに分布させて高密度の核形成位置を確保し、均一なサイズのグレーンが高密度で緻密に形成された原子層単位の前記界面調節層42が得られる程に前記界面調節層42を比較的低い蒸着速度で形成した後、その上に連続的にCVD方法によって前記界面調節層42の蒸着速度より速くAl配線層を形成する。その結果、優秀な表面形状を有するAl配線層を得ることができる。
【0050】
前記界面調節層42を形成するためにALD方法を使用すると説明したが、サイクリックCVDまたはデジタルCVD方法によって前記界面調節層42を形成することも可能である。
【0051】
前記界面調節層42内に含まれているSiはAl粒界(grain boundary)またはグレーン内に析出されている状態で存在するようになる。したがって、Alの凝集を防止し、Alの核形成位置を均一に分布させる。
【0052】
図4を参照すれば、前記界面調節層42の表面に水素含有ガスまたは窒素含有ガスを供給して水素または窒素を吸着させて前記界面調節層42の表面に表面処理層44を薄く形成する。前記表面処理層44は前記界面調節層42の表面が酸化されることを防止するために形成するものである。後続のCVD蒸着段階を前記界面調節層42の形成段階とインサイチュで行なう場合には前記表面処理層44の形成段階を省略できる。
【0053】
図5を参照すれば、前記表面処理層44が形成された結果物上にCVD方法によってAlを全面蒸着して前記コンタクトホールH1内にコンタクトプラグ52を形成すると同時に前記層間絶縁膜20上に前記コンタクトプラグ52と連結される配線層50を1,000ないし8,000Åの厚さに形成する。
【0054】
好ましくは、前記コンタクトプラグ52及び配線層50の形成段階は前記界面調節層42の形成段階とインサイチュで行なう。
【0055】
この時、前記コンタクトホールH1の内壁に前記界面調節層42をあらかじめ形成したので、前記コンタクトプラグ52によって前記コンタクトホールH1を完壁に充填すると同時に優秀な表面形状を有する前記配線層50が得られる。
【0056】
図6ないし図9は本発明の第2実施例による半導体素子の製造方法を説明するために工程順序によって示した断面図である。
【0057】
図6を参照すれば、図1及び図2を参照して説明したような方法で半導体基板110上に前記半導体基板110の導電領域を露出させるコンタクトホールH2を含む層間絶縁膜120を形成し、前記半導体基板110の露出された導電領域と、前記コンタクトホールH2により露出される層間絶縁膜120の側壁及び上面にTiよりなるオーミック層132及びTiNよりなる障壁層134を順に形成する。
【0058】
次いで、前記障壁層134に覆われた前記コンタクトホールH2の内壁及び前記層間絶縁膜120の上部にALD、サイクリックCVDまたはデジタルCVD方法によって連続的に蒸着された複数の原子層よりなる界面調節層142を数Åないし数十Å、好ましくは20Å以下の厚さに形成する。
【0059】
前記界面調節層142はCu、Ti、W、Si、Ta及びAgで形成することができる。本例では前記界面調節層142をCuで形成する場合に対して説明する。
【0060】
Cuよりなる前記界面調節層142を形成するために、まずCuのソースガスとして(hfac)Cu(TMVS)[(hexafluoroacetyl)Cu(trimethylvinylsilane)]、CuCl2 またはCu2 4 ガスを前記障壁層134が形成された結果物上にフラッシュして前記障壁層134の表面にCuを吸着させた後、H2 、HeまたはArガスを使用してパージングする。前記フラッシュ段階及びパージング段階を必要な回数だけ繰り返して連続的に薄く蒸着された複数のCu原子層よりなる前記界面調節層142を形成する。
【0061】
前記界面調節層142をTiで形成する場合にはソースガスとしてTiCl4 、TDEATまたはTDMATガスをフラッシュする。
【0062】
前記界面調節層142をWで形成する場合にはソースガスとしてWF6 ガスをフラッシュする。前記界面調節層142をSiで形成する場合にはソースガスとしてSiH4 、SiH3 Cl、SiHCl3 、Si2 6 またはSiCl4 ガスをフラッシュする。
【0063】
図7を参照すれば、前記界面調節層142の表面が酸化されることを防止するために前記界面調節層142の表面に水素含有ガスまたは窒素含有ガスを供給して水素または窒素を吸着させて表面処理層144を薄く形成する。後続のCVD蒸着段階を前記界面調節層142形成段階とインサイチュで行なう場合には前記表面処理層144の形成段階を省略できる。
【0064】
図8を参照すれば、前記表面処理層144が形成された結果物上にCVD方法によってAlを全面蒸着して前記コンタクトホールH2内部を充填すると同時に前記層間絶縁膜120の上部を覆う導電層150を形成する。この時、前記コンタクトホールH2の内壁に薄くて連続的な前記界面調節層142をあらかじめ形成したので、前記障壁層134を構成するTiNの表面にCuが連続膜の形態で吸着されている。このような状態で前記導電層150をCVD方法で形成すれば、前記導電層150を厚く形成しても前記コンタクトホールH2を完壁に充填すると同時に優秀な表面形状を有する前記導電層150が得られる。
【0065】
好ましくは、前記導電層150の形成段階は前記界面調節層142の形成段階とインサイチュで行なう。
【0066】
図9を参照すれば、前記導電層150が形成された結果物を300ないし650℃、好ましくは450ないし500℃の温度でアニーリングして前記界面調節層142内のCu原子を前記導電層150内に拡散させる。その結果、CuがドーピングされたAl配線層150aが得られる。前記Al配線層150a内のCuのドーピング濃度を0.5atm%程度にすれば前記界面調節層142の厚さを20Å以下にすれば十分である。
【0067】
前述したように、前記界面調節層142を構成するCuが前記障壁層134を構成するTiN上に吸着されている状態でCVD方法で前記導電層150を形成すれば、厚いAl導電層においてでも優秀な表面形状が得られると同時にAl配線層150aでCuがドーパント(dopant)として作用して配線層の信頼性を向上させうる。
【0068】
前記界面調節層142をCuでない他の元素、例えばTi、W、Si、TaまたはAgを利用して形成する場合にも同一な効果を期待することができる。
【0069】
前記界面調節層142をTiで形成した場合には前記導電層150が形成された結果物を400ないし650℃の温度でアニーリングする。
【0070】
図10ないし図14は本発明の第3実施例による半導体素子の製造方法を説明するために工程順序によって示した断面図である。
【0071】
図10を参照すれば、図1及び図2を参照して説明したような方法で半導体基板210上に前記半導体基板210の導電領域を露出させるコンタクトホールH3を含む層間絶縁膜220を形成し、前記半導体基板210の露出された導電領域と、前記コンタクトホールH3により露出される層間絶縁膜220の側壁及び上面にTiよりなるオーミック層232及びTiNよりなる障壁層234を順に形成する。
【0072】
次いで、図3の説明と同一な方法によって、前記障壁層234で覆われた前記コンタクトホールH3の内壁及び前記層間絶縁膜220の上部にSiが含まれるAl薄膜よりなる第1界面調節層242を数Åないし数十Å、好ましくは10Å未満の厚さに形成する。
【0073】
図11を参照すれば、図6の説明と同一な方法で、前記第1界面調節層242上にCuよりなる第2界面調節層244を形成する。前記第2界面調節層244はTi、W、Si、TaまたはAgとしてでも形成することができる。
【0074】
また、前記第2界面調節層244を第2実施例のように薄い連続膜の形態で形成することも可能であるが、前記第1界面調節層242上にこの分野で公知された技術によって複数のアイランド(island)状に形成する方法も可能である。
【0075】
図12を参照すれば、図7の説明と同一な方法で、前記第2界面調節層244の表面に酸化防止用表面処理層246を形成する。後続のCVDによるAl蒸着段階を前記第1及び第2界面調節層242、244の形成段階とインサイチュで行なう場合には前記表面処理層246の形成段階を省略できる。
【0076】
図13を参照すれば、前記表面処理層246が形成された結果物上にCVD方法によってAlを全面蒸着して前記コンタクトホールH3の内部を充填すると同時に前記層間絶縁膜220の上部を覆う導電層250を形成する。この時、前記コンタクトホールH3の内壁に前記第1及び第2界面調節層242、244をあらかじめ形成したので、前記導電層250によって前記コンタクトホールH3を完壁に充填すると同時に優秀な表面形状を有する前記導電層250が得られる。
【0077】
好ましくは、前記導電層250の形成段階は前記第1及び第2界面調節層242、244の形成段階とインサイチュで行なう。
【0078】
図14を参照すれば、前記導電層250が形成された結果物を300ないし650℃、好ましくは450ないし500℃の温度でアニーリングして前記第1界面調節層242内のSi原子及び前記第2界面調節層244内のCu原子を前記導電層250内に拡散させる。その結果、Si及びCuがドーピングされたAl配線層250aが得られる。
【0079】
本実施例では、前記第1及び第2界面調節層242、244によって優秀な表面形状を有する前記Al配線層250aを形成すると同時に前記Al配線層250aで前記第1及び第2界面調節層242、244のSi及びCuがドーパントで作用して配線層の信頼性を向上させることができる。
【0080】
【発明の効果】
前述したように、本発明によれば障壁層上にALD方法、サイクリックCVD方法またはデジタルCVD方法によって均一なサイズのグレーンよりなる高密度の界面調節層を形成した後、Al配線層を形成するので、優秀な表面形状を有するAl配線層を得て、前記界面調節層を配線層内のドーパントとして利用できるので、配線層の信頼度を向上させうる。
【0081】
以上、本発明を好ましい実施例を挙げて詳しく説明したが、本発明は前記実施例に限らず、本発明の技術的思想の範囲内で当分野で通常の知識を有する者によって多様な変形が可能である。
【図面の簡単な説明】
【図1】 本発明の第1実施例に係る半導体素子の製造方法を説明するための断面図である。
【図2】 本発明の第1実施例に係る半導体素子の製造方法を説明するための断面図である。
【図3】 本発明の第1実施例に係る半導体素子の製造方法を説明するための断面図である。
【図4】 本発明の第1実施例に係る半導体素子の製造方法を説明するための断面図である。
【図5】 本発明の第1実施例に係る半導体素子の製造方法を説明するための断面図である。
【図6】 本発明の第2実施例に係る半導体素子の製造方法を説明するための断面図である。
【図7】 本発明の第2実施例に係る半導体素子の製造方法を説明するための断面図である。
【図8】 本発明の第2実施例に係る半導体素子の製造方法を説明するための断面図である。
【図9】 本発明の第2実施例に係る半導体素子の製造方法を説明するための断面図である。
【図10】 本発明の第3実施例に係る半導体素子の製造方法を説明するための断面図である。
【図11】 本発明の第3実施例に係る半導体素子の製造方法を説明するための断面図である。
【図12】 本発明の第3実施例に係る半導体素子の製造方法を説明するための断面図である。
【図13】 本発明の第3実施例に係る半導体素子の製造方法を説明するための断面図である。
【図14】 本発明の第3実施例に係る半導体素子の製造方法を説明するための断面図である。
【符号の説明】
10: 半導体基板
20: 層間絶縁膜
32: オーミック層
34: 障壁層
42: 界面調節層
44: 表面処理層
50: 配線層
52: コンタクトプラグ

Claims (11)

  1. (a)半導体基板上に前記半導体基板の導電領域を露出させるコンタクトホールを含む層間絶縁膜を形成する段階と、
    (b)前記コンタクトホールの内壁及び前記層間絶縁膜の上部にSiが含まれるAl薄膜よりなる第1界面調節層を形成する段階と、
    (c)前記第1界面調節層上に連続的に蒸着された複数のCu原子層よりなる第2界面調節層を形成する段階と、
    (d)前記第2界面調節層上にCVD方法によってAlを全面蒸着して前記コンタクトホール内部を充填すると同時に前記層間絶縁膜の上部を覆う導電層を形成する段階と、
    (e)前記(d)の結果物をアニーリングしてSi及びCuがドーピングされたAl配線層を形成する段階とを含むことを特徴とする半導体素子の製造方法。
  2. 前記段階(a)の後及び段階(b)の前に、
    前記露出された導電領域と、前記コンタクトホールにより露出される層間絶縁膜の側壁及び上面にオーミック層を形成する段階と、
    前記オーミック層上に障壁層を形成する段階とをさらに含み、
    前記段階(b)で前記第1界面調節層は前記障壁層上に形成されることを特徴とする請求項1に記載の半導体素子の製造方法。
  3. 前記第1及び第2界面調節層は各々ALD、サイクリックCVDまたはデジタルCVD方法によって形成されることを特徴とする請求項2に記載の半導体素子の製造方法。
  4. 前記段階(b)で、前記第1界面調節層を形成する段階は、
    (b−1)前記障壁層が形成された結果物上にSi含有ガスを供給して前記障壁層の表面にSiを吸着させる段階と、
    (b−2)過剰のSi含有ガスを取り除く段階と、
    (b−3)前記Siが吸着された結果物上にAl含有ガスを供給して前記障壁層及び吸着されたSi表面にAlを吸着させる段階と、
    (b−4)過剰のAl含有ガスを取り除く段階と、
    (b−5)前記(b−1)ないし(b−4)を繰り返して前記障壁層上に前記Siが含まれるAl薄膜を形成する段階とを含むことを特徴とする請求項2に記載の半導体素子の製造方法。
  5. 前記障壁層はTiリッチTiN膜で形成されることを特徴とする請求項4に記載の半導体素子の製造方法。
  6. 前記段階(b−3)で前記Al吸着段階は前記Alソースガスの供給と同時にH ガスを供給する段階を含むことを特徴とする請求項4に記載の半導体素子の製造方法。
  7. 前記段階(c)の前記第2界面調節層の形成段階は、
    (c−1)(hfac)Cu(TMVS)、CuCl 及びCu からなる群から選択されるいずれか一つまたはその組み合わせよりなるガスを供給して前記第1界面調節層の表面にCuを吸着させる段階と、
    (c−2)前記(c−1)の結果物上にパージングガスを供給して前記ガス中の過剰のガスを除去する段階と、
    (c−3)前記段階(c−1)及び(c−2)を繰り返す段階とを含むことを特徴とする請求項1に記載の半導体素子の製造方法。
  8. 前記段階(e)のアニーリング段階は300ないし650℃の温度で行なうことを特徴とする請求項1に記載の半導体素子の製造方法。
  9. 前記段階(b)の第1界面調節層の形成段階、前記段階(c)の第2界面調節層の形成段階及び前記段階(d)の導電層の形成段階はインサイチュで連続的に行なわれることを特徴とする請求項1に記載の半導体素子の製造方法。
  10. 前記段階(c)の第2界面調節層の形成段階後及び前記段階(d)の導電層の形成段階前に、前記第2界面調節層の表面が酸化されることを防止するための 表面処理層を前記第2界面調節層上に形成する段階をさらに含むことを特徴とする請求項1に記載の半導体素子の製造方法。
  11. 前記表面処理層は前記第2界面調節層の表面に水素または窒素を吸着させることによって形成されることを特徴とする請求項10に記載の半導体素子の製造方法。
JP05700899A 1998-09-17 1999-03-04 界面調節層を利用して金属配線層を形成する半導体素子の製造方法 Expired - Fee Related JP4005738B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1019980038413A KR100287180B1 (ko) 1998-09-17 1998-09-17 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR98P38413 1998-09-17

Publications (2)

Publication Number Publication Date
JP2000100753A JP2000100753A (ja) 2000-04-07
JP4005738B2 true JP4005738B2 (ja) 2007-11-14

Family

ID=19550907

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05700899A Expired - Fee Related JP4005738B2 (ja) 1998-09-17 1999-03-04 界面調節層を利用して金属配線層を形成する半導体素子の製造方法

Country Status (3)

Country Link
US (1) US6358829B2 (ja)
JP (1) JP4005738B2 (ja)
KR (1) KR100287180B1 (ja)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6974766B1 (en) * 1998-10-01 2005-12-13 Applied Materials, Inc. In situ deposition of a low κ dielectric layer, barrier layer, etch stop, and anti-reflective coating for damascene application
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6727169B1 (en) * 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6759325B2 (en) * 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6592942B1 (en) * 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
CN1262508C (zh) * 2000-08-28 2006-07-05 应用材料有限公司 玻璃衬底的预多晶硅被覆
US20020036780A1 (en) * 2000-09-27 2002-03-28 Hiroaki Nakamura Image processing apparatus
US6355561B1 (en) * 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
KR100680940B1 (ko) * 2000-12-28 2007-02-08 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6753252B2 (en) * 2001-05-18 2004-06-22 Infineon Technologies Ag Contact plug formation for devices with stacked capacitors
US20020192948A1 (en) * 2001-06-15 2002-12-19 Applied Materials, Inc. Integrated barrier layer structure for copper contact level metallization
US6849545B2 (en) * 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
US6656835B2 (en) * 2001-06-21 2003-12-02 Micron Technology, Inc. Process for low temperature atomic layer deposition of Rh
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US20080268635A1 (en) * 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
TW589684B (en) * 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
WO2003044242A2 (en) * 2001-11-16 2003-05-30 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6939801B2 (en) * 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US7175713B2 (en) * 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US20030145790A1 (en) * 2002-02-05 2003-08-07 Hitoshi Sakamoto Metal film production apparatus and metal film production method
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6825134B2 (en) * 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) * 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US20030235961A1 (en) * 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US7041335B2 (en) * 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US7186630B2 (en) * 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
US6984478B2 (en) * 2002-09-16 2006-01-10 E.I. Du Pont De Nemours And Company Print control for flexographic printing
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6905737B2 (en) * 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
US7540920B2 (en) * 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
WO2004064147A2 (en) * 2003-01-07 2004-07-29 Applied Materials, Inc. Integration of ald/cvd barriers with porous low k materials
US7422961B2 (en) * 2003-03-14 2008-09-09 Advanced Micro Devices, Inc. Method of forming isolation regions for integrated circuits
US6753248B1 (en) 2003-01-27 2004-06-22 Applied Materials, Inc. Post metal barrier/adhesion film
US20040175926A1 (en) * 2003-03-07 2004-09-09 Advanced Micro Devices, Inc. Method for manufacturing a semiconductor component having a barrier-lined opening
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
KR20060079144A (ko) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
KR100528069B1 (ko) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7078785B2 (en) * 2003-09-23 2006-07-18 Freescale Semiconductor, Inc. Semiconductor device and making thereof
US20050067103A1 (en) * 2003-09-26 2005-03-31 Applied Materials, Inc. Interferometer endpoint monitoring device
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7906393B2 (en) * 2004-01-28 2011-03-15 Micron Technology, Inc. Methods for forming small-scale capacitor structures
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US20060062917A1 (en) * 2004-05-21 2006-03-23 Shankar Muthukrishnan Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20060153995A1 (en) * 2004-05-21 2006-07-13 Applied Materials, Inc. Method for fabricating a dielectric stack
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
KR100602087B1 (ko) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조방법
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7682940B2 (en) * 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7438760B2 (en) 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US7608549B2 (en) * 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
JP2006339371A (ja) * 2005-06-01 2006-12-14 Toshiba Corp 半導体装置の製造方法
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) * 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
TW200713455A (en) * 2005-09-20 2007-04-01 Applied Materials Inc Method to form a device on a SOI substrate
US20070099422A1 (en) * 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
TW200737307A (en) 2005-11-04 2007-10-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7658802B2 (en) * 2005-11-22 2010-02-09 Applied Materials, Inc. Apparatus and a method for cleaning a dielectric film
KR20080089403A (ko) * 2005-12-22 2008-10-06 에이에스엠 아메리카, 인코포레이티드 도핑된 반도체 물질들의 에피택시 증착
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7674337B2 (en) * 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US8278176B2 (en) * 2006-06-07 2012-10-02 Asm America, Inc. Selective epitaxial formation of semiconductor films
US20080135914A1 (en) * 2006-06-30 2008-06-12 Krishna Nety M Nanocrystal formation
JP5175285B2 (ja) * 2006-07-31 2013-04-03 アプライド マテリアルズ インコーポレイテッド エピタキシャル層形成中の形態制御方法
CN103981568A (zh) * 2006-07-31 2014-08-13 应用材料公司 形成含碳外延硅层的方法
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US9087877B2 (en) * 2006-10-24 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Low-k interconnect structures with reduced RC delay
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US8158526B2 (en) 2006-10-30 2012-04-17 Applied Materials, Inc. Endpoint detection for photomask etching
US7759199B2 (en) * 2007-09-19 2010-07-20 Asm America, Inc. Stressor for engineered strain on channel
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7767572B2 (en) * 2008-02-21 2010-08-03 Applied Materials, Inc. Methods of forming a barrier layer in an interconnect structure
US7618893B2 (en) * 2008-03-04 2009-11-17 Applied Materials, Inc. Methods of forming a layer for barrier applications in an interconnect structure
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8146896B2 (en) * 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
JP5011355B2 (ja) * 2009-07-30 2012-08-29 東京エレクトロン株式会社 成膜方法
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
US20120187505A1 (en) * 2011-01-25 2012-07-26 International Business Machines Corporation Self-aligned III-V MOSFET fabrication with in-situ III-V epitaxy and in-situ metal epitaxy and contact formation
US8642473B2 (en) 2011-03-04 2014-02-04 Applied Materials, Inc. Methods for contact clean
US8912096B2 (en) 2011-04-28 2014-12-16 Applied Materials, Inc. Methods for precleaning a substrate prior to metal silicide fabrication process
US8809170B2 (en) 2011-05-19 2014-08-19 Asm America Inc. High throughput cyclical epitaxial deposition and etch process
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US8927423B2 (en) 2011-12-16 2015-01-06 Applied Materials, Inc. Methods for annealing a contact metal layer to form a metal silicidation layer
US8586479B2 (en) 2012-01-23 2013-11-19 Applied Materials, Inc. Methods for forming a contact metal layer in semiconductor devices
US20130243971A1 (en) * 2012-03-14 2013-09-19 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition with Horizontal Laser
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
WO2015047731A1 (en) 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
KR102514466B1 (ko) 2014-12-15 2023-03-24 어플라이드 머티어리얼스, 인코포레이티드 진보된 배선 애플리케이션들을 위한 초박 유전체 확산 배리어 및 에칭 정지 층
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
EP3707746B1 (en) 2017-11-11 2023-12-27 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN112071749B (zh) 2019-06-10 2024-03-08 爱思开海力士有限公司 半导体装置和制造该半导体装置的方法
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960010056B1 (ko) * 1992-12-10 1996-07-25 삼성전자 주식회사 반도체장치 및 그 제조 방법
US5391517A (en) * 1993-09-13 1995-02-21 Motorola Inc. Process for forming copper interconnect structure
JP2616402B2 (ja) * 1993-10-18 1997-06-04 日本電気株式会社 半導体装置の製造方法

Also Published As

Publication number Publication date
US20010029094A1 (en) 2001-10-11
KR100287180B1 (ko) 2001-04-16
US6358829B2 (en) 2002-03-19
KR20000020013A (ko) 2000-04-15
JP2000100753A (ja) 2000-04-07

Similar Documents

Publication Publication Date Title
JP4005738B2 (ja) 界面調節層を利用して金属配線層を形成する半導体素子の製造方法
US7955972B2 (en) Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6727169B1 (en) Method of making conformal lining layers for damascene metallization
US11587829B2 (en) Doping control of metal nitride films
US6391785B1 (en) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
KR102197537B1 (ko) 표면 조성을 제어함에 의한 텅스텐 성장 조정
US6194310B1 (en) Method of forming amorphous conducting diffusion barriers
US7691442B2 (en) Ruthenium or cobalt as an underlayer for tungsten film deposition
US10784157B2 (en) Doped tantalum nitride for copper barrier applications
EP1221177A1 (en) Conformal lining layers for damascene metallization
TWI784036B (zh) 層形成方法
US20020132469A1 (en) Method for forming metal wiring layer
US8008774B2 (en) Multi-layer metal wiring of semiconductor device preventing mutual metal diffusion between metal wirings and method for forming the same
CN110911347A (zh) 半导体结构及其形成方法
KR19990029260A (ko) 화학기상증착법에 의한 금속질화막 형성방법 및 이를 이용한 반도체장치의 금속컨택 형성방법
KR100609049B1 (ko) 반도체 소자의 금속배선 형성방법
KR0141966B1 (ko) 배선금속박막의 제조방법
KR20060076584A (ko) 텅스텐 박막 증착방법
KR20070111709A (ko) 질화지르코늄막의 형성 방법 및 이를 이용하는 반도체장치의 형성 방법 및 이에 의해 형성된 반도체 장치

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060425

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060725

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070417

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070717

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070807

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070824

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100831

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees