JP3996767B2 - 集積回路及び集積回路の形成方法 - Google Patents

集積回路及び集積回路の形成方法 Download PDF

Info

Publication number
JP3996767B2
JP3996767B2 JP2001503214A JP2001503214A JP3996767B2 JP 3996767 B2 JP3996767 B2 JP 3996767B2 JP 2001503214 A JP2001503214 A JP 2001503214A JP 2001503214 A JP2001503214 A JP 2001503214A JP 3996767 B2 JP3996767 B2 JP 3996767B2
Authority
JP
Japan
Prior art keywords
oxide
integrated circuit
layer
metal oxide
metal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001503214A
Other languages
English (en)
Other versions
JP2003502837A (ja
Inventor
シンイチロウ ハヤシ,
ヴィクラム ジョシ,
ナラヤン ソラヤッパン,
ジョセフ ディー. クチアロ,
デ アラウジョ, カルロス エイ. パズ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Symetrix Corp
Original Assignee
Symetrix Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/329,670 external-priority patent/US6339238B1/en
Priority claimed from US09/365,628 external-priority patent/US6495878B1/en
Application filed by Symetrix Corp filed Critical Symetrix Corp
Publication of JP2003502837A publication Critical patent/JP2003502837A/ja
Application granted granted Critical
Publication of JP3996767B2 publication Critical patent/JP3996767B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0611Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region
    • H01L27/0617Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type
    • H01L27/0629Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration integrated circuits having a two-dimensional layout of components without a common active region comprising components of the field-effect type in combination with diodes, or resistors, or capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/21Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements
    • G11C11/22Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements
    • G11C11/223Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using electric elements using ferroelectric elements using MOS with ferroelectric gate insulating film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02194Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing more than one metal element

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)
  • Inorganic Compounds Of Heavy Metals (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Formation Of Insulating Films (AREA)
  • Inorganic Insulating Materials (AREA)

Description

【0001】
(発明の背景)
(1.発明の分野)
本発明は、概して、高誘電率と、高キャパシタンスと、実質的に電圧および温度に依存しない他の電気的特性とを有する、集積回路における最適化された化学量論的組成の金属酸化物材料に関する。
【0002】
(2.問題提起)
集積回路およびモノリシックマイクロ波集積回路(MMIC)におけるバイパスキャパシタのような関連する用途における電荷格納媒体としての使用に適した高誘電率材料が必要であることは周知である。集積回路において電荷を格納するために用いられる最も一般的に使用される誘電体材料は、約4の誘電率を有する二酸化シリコンである。電荷を格納するために用いられる他の一般的な誘電体材料(例えば、Si34、Al23およびTa25等)もまた、4〜20の範囲の低誘電率を有する。このような材料を用いた格納キャパシタは、最新の集積回路に必要な容量値を提供するためには大面積を有する必要がある。これらの大面積によって、集積回路またはMMICにおける容量性コンポーネントの高密度を達成することが困難となる。しかしながら、高誘電率を有する一般に使用されている材料が望ましくない特性を有する場合があるという事実によって、集積回路における誘電体を提供するために他の材料を用いることが妨げられている。例えば、鉛ジルコニウムチタネート(PZT)のような強誘電体材料は、高誘電率を有することが知られており、従って、高誘電率メモリの候補として提案されている。しかしながら、強誘電体材料は、抗電界で大きなスイッチング電荷を生じるために、高誘電率DRAMには使用されるべきではないことが当該分野では周知である。このスイッチング電荷は、DRAMがメモリ格納媒体として利用する従来の線形電荷を覆い隠す。O.Auciello、J.F.ScottおよびR.Rameshの「The Physics of Ferroelectric Memories」、Physics Today、51、No.7、1998年7月、pp22〜27、特に24頁の「ボックス1」を参照されたい。さらに、PZTおよび他の高誘電体材料は、温度および印加電圧に大きく誘電率の依存した非線形性を示す。このような材料が、キャパシタ誘電体またはバイパスキャパシタとして用いられる場合、キャパシタンス値を温度および電圧に従って変化させる。電気的特性が温度および電圧に従って変化する材料は、一般的に、集積回路およびMMICには不適切である。この理由は、回路の効果的な動作には、電気的特性が特定の値を有することが必要とされ、集積回路が温度および電圧のある範囲にわたって動作できる必要があるからである。PZTおよび他の高誘電体材料は、スケーリングにのっとらない電気的特性を有する場合がある。すなわち、スケーリングにのっとらないとは、材料をより薄く作製した場合に、その電気的特性が顕著に変化することである。このようなスケーリングにのっとらないことによって、回路をより高密度にする際に、プロセシングを満たすのが困難な厳しい要件を課し、回路の大規模な再設計を必要とし得る。従って、電気的特性がスケーリングにのっとり、温度および電圧に基本的に依存しない高誘電率材料を有することが極めて望ましい。
【0003】
一般に、集積回路で用いられ得る高誘電率キャパシタを有することもまた有効である。このような高誘電率キャパシタには、例えば、金属−酸化物−半導体電界効果トランジスタ(MOSFET)、強誘電体電界効果トランジスタ(強誘電体FET)および他のトランジスタのゲート誘電体膜、所定の材料間の不要な相互作用を防ぐためのバッファ層、一方の層から別の層へと元素が拡散するのを防ぐための拡散バリア、中間層誘電体が挙げられる。これらの用途のそれぞれにおいて、その材料は、温度および電圧に従って変化しない電気的値を有することが有効である。さらに、これらの用途の各々が、さらなる電気要件を有する。高誘電体材料が、MOSFET、強誘電体FETおよび他のトランジスタのゲート絶縁体膜において有効であるかどうかを予測することは特に困難である。この理由は、この用途では、複数の(時に相反する)要件を満足する必要があるためである。温度、電圧および厚さの変化に対して一定であるキャパシタンスを有することに加えて、それら高誘電体材料は、低リーク電流および高破壊電圧を有する必要があり、ゲート電圧の関数としてトランジスタの閾値電圧を変化させてはいけない。そしてそれら高誘電体材料は、電荷注入に対して有効なバリアである必要がある。バッファ層は、バッファ層が保護する材料およびその周囲の集積回路材料の両方に適合する必要がある。拡散バリアは、高温で特定の元素の移動を防ぐのに有効である必要があり、自身が移動し得る元素を含んでいていはいけない。中間層誘電体は、低リーク電流および高破壊電圧を有する必要がある。集積回路がさらに小さくなるにつれて、材料の厚さは減少し、異なる材料間の距離が小さくなるので、上記の要件すべてはさらに厳しくなる。20を超える誘電率を有するが、問題となる特性を有さない材料が少ないということは、より高密度な集積回路メモリに対して深刻な障害の1つとなると考えられる。
【0004】
近年、市販の強誘電体メモリ、特に強誘電体ランダムアクセスメモリ(FERAM)が利用可能となっている。実用のFERAMはDRAMの密度にいまだに達してはいないが、この分野では急速な発展を遂げており、これらのメモリはまもなくDRAMに匹敵し得るということが示唆されている。DRAMのようなこれらのメモリは、温度および電圧が実質的に変化し得る環境での使用に対して最適に意図されているので、電気的特性がスケーリングにのっとり、温度および電圧に基本的に依存しない強誘電体材料を有することが極めて有効である。
【0005】
(3.問題の解決)
本発明は、電気的特性が電圧および温度にあまり依存しない金属酸化物薄膜を組み込んだ集積回路を提供することによって上記問題を解決する。本発明はまた、電気的特性がスケーリングにのっとり、電圧および温度にあまり依存しない金属酸化物薄膜を形成するための液体前駆体を提供する。さらに、本発明は、電気的特性が電圧および温度にあまり依存しない金属酸化物薄膜を形成するために、液体前駆体を集積回路基板に付与し、付与された前駆体を処理する方法を提供する。好ましくは、金属酸化物は高誘電率材料であり、最も好ましくは強誘電体ではない。しかしながら、材料のうちのいくつかは強誘電体であり、従って、FERAMのような強誘電体デバイスに有用である。
【0006】
本発明の1実施形態は、高誘電率金属酸化物絶縁体薄膜または強誘電体金属酸化物薄膜を含む集積回路である。この集積回路において、金属酸化物は、タングステンブロンズ型酸化物、パイロクロア型酸化物、およびパイロクロア型酸化物とタングステンブロンズ型酸化物とからなる群から選択される酸化物を有する中間層酸化物の組合せからなる群から選択される。典型的には、中間層酸化物はBi23である。
【0007】
本発明の1実施形態において、金属酸化物は、AB26、A227およびA2Bi2210からなる群から選択される式によって表される化学量論を有しており、Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaからなる金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbからなる金属群から選択されるBサイト原子を表す。
【0008】
本発明による集積回路は、好ましくは1ナノメートル(nm)〜500nmの範囲の厚さを有する金属酸化物薄膜を含む。本発明による金属酸化物材料は、好ましくは、非強誘電体であり、比較的高い誘電率(すなわち、20以上の誘電率値ε20)を有する。温度および外部印加電圧に対するキャパシタンスの依存性は無視できることを示し、その金属酸化物材料は低Vcc値および低Tcc値を有する。これらの材料は、典型的には、DRAMのキャパシタおよび強誘電体FETを含むトランジスタのゲート誘電体の誘電体材料として、特定の材料間(特に層状超格子材料と半導体との間)の不要な相互作用を防ぐバッファ層として、1つの層から別の層へ元素が拡散するのを防ぐための拡散バリアとして、そして中間層誘電体として適用される。本発明による材料のうちいくつかは強誘電体であり、これらの材料は、典型的には、強誘電体ランダムアクセスメモリ(FERAM)のメモリセルの一部として、または強誘電体FETの強誘電体ゲートとして適用される。
【0009】
本発明の1実施形態による液体前駆体は、タングステンブロンズ型酸化物、パイロクロア型酸化物、およびパイロクロア型酸化物とタングステンブロンズ型とからなる群から選択される酸化物を有する中間層酸化物(例えばBi23)の組合せからなる群から選択される金属酸化物を形成するための金属原子を相対モル比で含む。本発明の1実施形態において、液体前駆体は、AB26、A227およびA2Bi2210からなる群から選択される式によって表される化学量論を有する金属原子を含み、Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaからなる金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbからなる金属群から選択されるBサイト原子を表す。
【0010】
本発明の方法の1実施形態は、基板を提供する工程と、上記金属酸化物薄膜を形成するための液体前駆体を提供する工程と、その液体前駆体を基板に付与し、基板上に液体コーティングを形成する工程と、その液体コーティングを処理して、所望の金属酸化物の固体薄膜を形成する工程とを包含する。付与工程は、基板上への前駆体の液体コーティングのスピンコーティング、ミスト堆積、ディップコーティングまたは他の液体付与プロセスを含み得る。処理工程は、真空に曝すこと、紫外線照射に曝すこと、乾燥、加熱、ベーキング、高速熱処理およびアニーリングを含む群から選択されるプロセスを含み得る。本発明の方法の1実施形態は、ペロブスカイト型酸化物化合物またはパイロクロア型酸化物化合物の前駆体に添加された、中間層酸化物(例えば、Bi23)に相当する相対モル比の金属原子を含む液体前駆体を提供する工程を包含する。
【0011】
材料の優れた特性と、温度および電圧の広い範囲にわたってこれらの優れた特性を維持する材料の非常に薄い薄膜を作製する能力とによって、集積回路にとって特に重要な発明となるが、任意のタイプの電荷格納デバイスにとっても重要である。本発明の多くの他の特徴、目的および利点は、添付の図面を参照して読めば、以下の説明から明らかとなる。
【0012】
(好適な実施形態の説明)
本明細書中で用語「金属酸化物」は、一般形態AaboまたはAasbo(A、BおよびSはカチオンであり、Oは酸素アニオンである)の材料を意味する。この用語は、AおよびBが複数の元素を表す材料を含むように意図される。例えば、形態A’A”B26、A(B’B”)26、(A’A”)(B’B”)26、A’A”A”’B26等(A、A’、A”、A”’、B、B’およびB”は異なる金属元素である)の材料を含む。好ましくは、A、A’、A”、A”’等は、Ba、Bi、Sr、Pb、CaおよびLaを含む金属群から選択される金属であり、Aサイト原子と呼ばれる。B、B’およびB”等は、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む群から選択される金属である。本明細書中では、B、B’およびB”等をまとめてBサイト原子と呼ぶ。式中のSサイト元素は、本明細書中では中間層元素と呼ばれ、通常、元素ビスマスBiを表す。AサイトおよびBサイト材料は、上述のいくつかの等価な材料と自由に置換され得る。形態AA’B26の材料では、結晶格子内のAサイトを占めるA原子およびA’原子の相対量は可変であるが、Aサイト原子の合計数は、Bサイト原子および酸素原子に対して上述のように固定である。相対量は、Aサイト原子の合計数が、2個のBサイト原子と6個の酸素原子とを併せもった状態で1(1−x+x=1)に等しいことを示す式(A1-xA’x)B26として表され得る。従って、Aサイト原子およびBサイト原子の合計数は、化学量論的に平衡な式によって固定されるが、AおよびA’原子の相対量は、それぞれ「1−x」および「x」で表される。同様の論法が、3以上のAサイト元素または複数のBサイト元素またはSサイト元素が存在する場合に当てはまる。
【0013】
本発明の金属酸化物を表すために用いられる式は、金属酸化物の固体薄膜中の酸素アニオンの実際量が、金属カチオンの実際の化学価数値に従って変化するという意味で、必ずしも絶対的に正確というわけではない。例えば、Ta原子およびNb原子の優勢な価数値は+5であり、一方Ti原子の通常の価数値は+4である。一般的な化学量論式A227は、Bサイト原子がTa、例えば(BaxSr1-x2(TayNb1-y27の場合に電気的に平衡である。一方、Bサイト原子がTiの場合、酸素の実際の相対量は、一般式で表されているよりもわずかに少ない。この理由は、金属カチオンおよび酸素アニオンの相対量が、化学価数値によって決定されるように、電気的平衡にある必要があるためである。特定の化学種の液体前駆体において、金属原子および(酸素を除く)他の原子要素の相対モル比は、通常、所望の化学種の化学量論式に示されるのと同じ比で存在する。ビスマスのような元素の場合、揮発性の高い化合物を形成し、従って、固体薄膜を生成する工程に関連する加熱工程時になくなってしまう可能性があることが予想される。前駆体中の金属原子は、典型的には、集積回路基板に付与した後の処理工程時に互いに反応する種々の前駆体化合物に関連する。
【0014】
本明細書中で用語「化学量論的」は、金属酸化物材料の固体薄膜および材料を形成するための前駆体の両方に適用され得る。固体薄膜に「化学量論的」を適用する場合、最終固体薄膜中の各元素の実際の相対量を示す式を指す。前駆体に「化学量論的」を適用する場合、その前駆体中の金属および(酸素を除く)他の化学元素のモル比を示す。「平衡」化学量論式は、結晶格子の全てのサイトが占有された状態の金属酸化物の完全な結晶構造を形成するのに各元素がちょうど十分なだけ存在する式であるが、実際には、室温で結晶中にはいくつかの欠陥が必ず存在する。ここで開示される化学式は、薄膜または液体前駆体中の原子の化学量論量を表す。これらは、必ずしも特定の結晶構造または化合物の平衡化学量論式である必要はない。
【0015】
M.E.LinesおよびA.M.GlassのClarendon出版、Oxford(1977年)の本「Principles and Applications of Ferroelectrics and Related Materials」に記載されるように、「ペロブスカイト」という名称の金属酸化物化合物の種類は、一般的な化学量論式ABO3(Aは1価または2価の金属原子であり、Bは4価または5価の金属である)によって表され得る。ペロブスカイトの結晶構造は、立方晶状に配列された一組のBO6八面体として見られ得る。別の種類の金属酸化物化合物は、八面体タングステンブロンズに近いABO3型酸素四面体の結晶構造を形成する。式AB26を有するこれらの金属酸化物化合物(およびそれらの複合物、例えば(BaxSr1-x5Ta1030)は、タングステンブロンズ型酸化物として知られている。本発明の1実施形態は、集積回路デバイスにおいてタングステンブロンズ型酸化物の薄膜を含み得る。別の局面において、本発明は、一般式AB26(Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaを含む金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む金属群から選択されるBサイト原子を表す)を有する金属酸化物の薄膜を含むものとして記載され得る。本明細書中で用いられる用語タングステンブロンズまたはタングステンブロンズ型は、タングステンブロンズ型構造を有するものとして知られているすべての化合物、および実際にその化合物がタングステンブロンズ型構造を有していようといまいと上記の式を有するすべての化合物を含む。金属酸化物が一般式AB26を有する場合、好ましくは、(BaxSr1-x)(TayNb1-y26(0≦x≦1.0および0≦y≦1.0)を含む金属酸化物群から選択される。本発明による別の種類の金属酸化物は、一般式A227を有するパイロクロア型酸化物である。本発明の1実施形態は、一般式A227(Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaを含む金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む金属群から選択されるBサイト原子を表す)を有する薄膜を含む。本明細書中で用いられる用語パイロクロアまたはパイロクロア型は、パイロクロア型構造を有するものとして知られるすべての化合物、およびその化合物が実際にパイロクロア型構造を有していようといまいと上記の式を有するすべての化合物を含む。金属酸化物が一般式A227を有する場合、好ましくは、(BaxSr1-x2(TayNb1-y27(0≦x≦1.0および0≦y≦1.0)を含む金属酸化物群から選択される。ペロブスカイトおよびパイロクロア型化合物は、強誘電体または反強誘電体であり得る。タングステンブロンズ型化合物は通常強誘電体であると考えられている。
【0016】
本発明の1実施形態において、集積回路は、ペロブスカイトおよびパイロクロア型酸化物からなる群から選択される酸化物を有する中間層酸化物の組合せである金属酸化物の薄膜を含む。中間層酸化物は、Bi、Sc、Y、La、Sb、CrおよびTlを含む中間層元素群から選択される金属原子を含む酸化物である。好ましくは、中間層酸化物は、ビスマス酸化物Bi23である。図3に示される本発明の1実施形態は、ペロブスカイトまたはパイロクロア型酸化物層と交互に並んだ中間層酸化物層(好ましくはBi23層)を含む仮想スタック構造を含む。この構造は、典型的には、前駆体を処理し、アニーリングすると層状構造を形成するのに十分な相対量の金属原子を含む前駆体を基板に付与することによって形成される。仮想スタック構造を形成するための前駆体は、中間層酸化物(好ましくはBi23)の式、およびペロブスカイトまたはパイロクロア型酸化物の化学量論式に相当する金属原子の組み合わせた部分を含む。しかしながら、本発明は、1996年5月21日にPaz de Araujoらに付与された米国特許第5,519,234号に開示される層状超格子材料と呼ばれる仮想スタック構造を含まない。
【0017】
図4に示される別の実施形態において、金属酸化物の薄膜は、ペロブスカイト、タングステンブロンズ型またはパイロクロア型金属酸化物の層が、中間層酸化物(好ましくはビスマス酸化物)の交互層との界面を有する実スタック構造を含む。この構造は、交互層の各々を個々に堆積し、次いでそれらをアニーリングして薄膜を形成することによって形成される。
【0018】
本発明の特定の実施形態において、パイロクロア型酸化物は、一般化学式A227(Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaを含む金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む金属群から選択されるBサイト原子を表す)を有する。好ましくは、パイロクロア型酸化物化合物は、(BaxSr1-x2(TayNb1-y27(0≦x≦1.0および0≦y≦1.0)であり、好ましくは(BaxSr1-x2Ta27(0≦x≦0.6)である。パイロクロア型酸化物が一般化学式A227を有し、中間層酸化物Bi23と組み合わされている場合、得られる組み合わせた式は、一般式A2Bi2210を有する。パイロクロア型酸化物化合物は、(BaxSr1-x2(TayNb1-y27(0≦x≦1.0および0≦y≦1.0)であり、得られるビスマス酸化物との組合せは、組成(BaxSr1-x2Bi2(TayNb1-y210(0≦x≦1.0および0≦y≦1.0)を有する薄膜である。
【0019】
典型的には、本発明による集積回路は、1nm〜500nmの範囲(好ましくは、30nm〜200nmの範囲)の厚さを有する金属酸化物薄膜を含む。本発明の金属酸化物薄膜は、集積回路のメモリセルの一部(例えば、DRAMセルのキャパシタ誘電体、MOSFETのようなトランジスタのゲート誘電体、バッファ層、拡散バリア層および中間層誘電体)として用いられ得る。本発明の典型的な実施形態において、集積回路は、第1の電極と、第2の電極と、第1の電極と第2の電極との間に配置される高誘電率絶縁体の薄膜とを含み、この高誘電率絶縁体は本発明による金属酸化物材料を含む。
【0020】
用語「バッファ」および「拡散バリア」は、当該分野では相互に用いられる場合がある。本開示においては、用語「バッファ」はより一般的なものを意図しており、拡散バリアの機能および他の機能すべてを含むが、用語「拡散バリア」はより狭く、化学元素または化合物の拡散に対するバリアを提供する機能のみを含む。
【0021】
本発明による金属酸化物材料は、20以上(好ましくは、約100)の比誘電率値ε20を有する。この金属酸化物材料は、温度および外部印加電圧に対するキャパシタンスの依存性がほとんどないことを示す。電子分野では、キャパシタンスの温度に対する依存性の基準は、一般的に、1℃当たりのキャパシタンスの変化率として定義されるキャパシタンス温度係数Tccとして与えられる。キャパシタンスの電圧に対する依存性の基準は、一般的に、1ボルト当たりのキャパシタンスの変化率として定義されるキャパシタンス電圧係数Vccとして与えられる。金属酸化物材料は、広い範囲の温度範囲動作性を有する。テストキャパシタには、20℃〜140℃の温度範囲において100ppmを超えないキャパシタンスの温度係数Tccを有するものがあった。すべてのテストキャパシタは、0ボルト〜±5ボルトの印加電圧範囲にわたって±1%を超えないキャパシタンス電圧係数Vccを有した。本発明による金属酸化物材料のいくつかは、強誘電特性または反強誘電特性を有し得る。
【0022】
従って、本発明は、集積回路における金属酸化物絶縁体を製造するための液体前駆体を提供する。この前駆体は、原子が酸素と結合している場合、タングステンブロンズ型酸化物化合物を形成するのに十分な相対モル比で金属原子を含む。特に、本発明は、一般式AB26(Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaを含む金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む金属群から選択されるBサイト原子を表す)を有する金属酸化物のための液体前駆体を提供する。金属酸化物が一般式AB26を有する場合、好ましくは、(BaxSr1-x)(TayNb1-y26(0≦x≦1.0および0≦y≦1.0)を含む金属酸化物群から選択される。本発明はまた、集積回路における金属酸化物絶縁体を製造するための液体前駆体を提供する。この前駆体は、一般化学式A227(Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaを含む金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む金属群から選択されるBサイト原子を表す)を有するパイロクロア型酸化物を形成するのに十分な相対モル比で金属原子を含む。本発明はまた、集積回路における金属酸化物絶縁体を製造するための液体前駆体を提供する。この前駆体は、原子が酸素と結合している場合、中間層酸化物(好ましくは、Bi23)のペロブスカイトまたはパイロクロア型酸化物との組合せたものに相当する相対モル比で金属原子を含む。得られる酸化物は、ビスマス酸化物層を交互に並べた金属酸化物層を含む仮想スタック構造を有すると考えられている。本発明の特定の実施形態において、パイロクロア型酸化物は、一般化学式A227(Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaを含む金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む金属群から選択されるBサイト原子を表す)を有する。好ましくは、パイロクロア型酸化物化合物は、(BaxSr1-x2(TayNb1-y27(0≦x≦1.0および0≦y≦1.0)であり、好ましくは(BaxSr1-x2Ta27(0≦x≦0.6)である。パイロクロア型酸化物が一般化学式A227を有する場合、得られる組み合わせた式は、一般式A2Bi2210を有する。パイロクロア型酸化物化合物は、(BaxSr1-x2(TayNb1-y27(0≦x≦1.0および0≦y≦1.0)である場合、得られるビスマス酸化物前駆体化合物との組合せは、組成(BaxSr1-x2Bi2(TayNb1-y210(0≦x≦1.0および0≦y≦1.0)を有する誘電体薄膜を形成する。
【0023】
本発明の実施形態による液体前駆体は、AB26、A227およびA2Bi2210(Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaを含む金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む金属群から選択されるBサイト原子を表す)を含む群から選択される式によって表される化学量論を有する金属酸化物を形成するための相対モル比の金属原子を含む。液体前駆体が一般式AB26を有する金属酸化物を形成するために選択されると、金属酸化物は、好ましくは、(BaxSr1-x)(TayNb1-y26(0≦x≦1.0および0≦y≦1.0)を含む金属酸化物群から選択される。液体前駆体が一般式A227を有する金属酸化物を形成するために選択されると、金属酸化物は、好ましくは、(BaxSr1-x2(TayNb1-y27(0≦x≦1.0および0≦y≦1.0)を含む金属酸化物群から選択される。液体前駆体が一般式A2Bi2210を有する金属酸化物を形成するために選択されると、金属酸化物は、好ましくは、(BaxSr1-x2Bi2(TayNb1-y210(0≦x≦1.0および0≦y≦1.0)を含む金属酸化物群から選択される。
【0024】
本発明の実施形態による液体前駆体は、ペロブスカイト層、タングステンブロンズ型酸化物層およびパイロクロア型酸化物層からなる群から選択される金属酸化物層を形成するための相対モル比の金属原子を含む。
【0025】
本発明の方法の1実施形態は、基板を提供する工程と、AB26、A227およびA2Bi2210(Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaを含む金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む金属群から選択されるBサイト原子を表す)を含む群から選択される金属酸化物を形成するための液体前駆体を提供する工程と、液体前駆体を基板に付与し、その基板上に液体コーティングを形成する工程と、その液体コーティングを処理して、金属酸化物の固体薄膜を形成する工程とを包含する。金属酸化物が一般式AB26を有する場合、金属酸化物は、好ましくは、(BaxSr1-x)(TayNb1-y26(0≦x≦1.0および0≦y≦1.0)を含む金属酸化物群から選択される。金属酸化物が一般式A227を有する金属酸化物を形成するために選択されると、金属酸化物は、好ましくは、(BaxSr1-x2(TayNb1-y27(0≦x≦1.0および0≦y≦1.0)を含む金属酸化物群から選択される。金属酸化物が一般式A2Bi2210を有する金属酸化物を形成するために選択されると、金属酸化物は、好ましくは、(BaxSr1-x2Bi2(TayNb1-y210(0≦x≦1.0および0≦y≦1.0)を含む金属酸化物群から選択される。
【0026】
上記方法は、第1の電極および第2の電極を形成する工程をさらに包含し得、金属酸化物薄膜は、第1の電極と第2の電極との間に配置される。典型的には、第1の電極と、薄膜と、第2の電極とは、メモリセルの一部として形成される。処理工程は、真空に曝すこと、紫外線照射に曝すこと、乾燥、加熱、ベーキング、高速熱処理およびアニーリングを含む群から選択されるプロセスを含み得る。付与工程は、1995年10月10日にMcMillanらに付与された米国特許第5,456,945号に記載されるように、基板上への前駆体の液体コーティングのスピンコーティングまたはミスト堆積を含み得る。
【0027】
本発明はまた、基板を提供する工程と、ペロブスカイトまたはパイロクロア型酸化物に添加された中間層酸化物(好ましくは、Bi23)に相当する相対モル比の金属原子を含む液体前駆体を提供する工程と、基板に液体前駆体を付与し、その基板上に液体コーティングを形成する工程と、その液体コーティングを処理して、金属酸化物の固体薄膜を形成する工程とを包含する、仮想スタック構造を有する金属酸化物薄膜を形成する方法を提供する。上記方法の1実施形態において、パイロクロア型酸化物化合物はA227(Aは、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaを含む金属群から選択されるAサイト原子を表し、Bは、Ti、Zr、Ta、Hf、Mo、WおよびNbを含む金属群から選択されるBサイト原子を表す)である。パイロクロア型酸化物化合物が式A227を有する場合、好ましくは、(BaxSr1-x2(TayNb1-y27(0≦x≦1.0および0≦y≦1.0)、好ましくは(BaxSr1-x2Ta27(0≦x≦0.6)である。処理工程は、真空に曝すこと、紫外線照射に曝すこと、乾燥、加熱、ベーキング、高速熱処理およびアニーリングを含む群から選択されるプロセスを含み得る。付与工程は、1995年10月10日にMcMillanらに付与された米国特許第5,456,945号に記載されるように、基板上への前駆体の液体コーティングのスピンコーティングまたはミスト堆積を含み得る。
【0028】
本発明はまた、基板を提供する工程と、ペロブスカイト、タングステン型酸化物およびパイロクロア型酸化物からなる群から選択される金属酸化物を形成するための金属酸化物前駆体を提供する工程と、中間層酸化物を形成するための中間層酸化物前駆体を提供する工程と、金属酸化物前駆体を基板に付与して、その基板上に金属酸化物前駆体コーティングを形成する工程と、その金属酸化物前駆体コーティングを処理して、金属酸化物の固体層を形成する工程と、その基板に中間層酸化物前駆体を付与して、その基板上に中間層酸化物前駆体コーティングを形成する工程と、中間層酸化物前駆体コーティングを処理して中間層酸化物の固体層を形成する工程と、金属酸化物層および中間層酸化物層をアニーリングして、金属酸化物薄膜を形成する工程とを包含する、実スタック構造を有する金属酸化物薄膜を形成する方法を提供する。好ましくは、中間層酸化物はビスマス酸化物Bi23である。
【0029】
次に、本発明の材料およびプロセスを用い得る集積回路デバイスの例を参照して、集積回路デバイスを示す図1〜4および12〜16は、実際の集積回路デバイスの任意の特定の部分の実際の平面図または断面図を意味しないことを理解されたい。実際のデバイスでは、層は一定ではなく、厚さの異なった部分を有する可能性がある。特に、キャパシタおよびトランジスタの層のような層の相対的な厚さを実際に示すことはできない。この理由は、実際には、FET240、350、450および550(図13〜16)のゲート絶縁層のような層のうちいくつかは、薄すぎてはっきりと見ることができない可能性があり、ウェハ301(図12)の基板302および不導態層342のような層のうちいくつかは、厚すぎて1つの紙面上に収めることができない可能性があるためである。その代わり図面は、実際の図を示す場合に可能である以上に本発明の特徴をより明瞭かつ完全に示すために採用される理想的な図を示す。
【0030】
図1において、本発明による薄膜金属酸化物56を含む集積回路キャパシタ50の断面図を示す。本出願人らは集積回路についてキャパシタ50について述べるが、キャパシタ50はまたMMICのバイパスキャパシタを表し得ることを理解されたい。集積回路キャパシタ50は、好ましくは、シリコン、ガリウム砒素、ルビーまたは他の半導体、あるいはガラスまたは酸化マグネシウム(MgO)のような絶縁体であり得るウェハ51上に形成される。本明細書中に記載の好ましい実施形態において、ウェハ51は、直径約15〜20センチメートルのP型シリコンウェハである。好ましくは、ウェハは単結晶である。約500nmの二酸化シリコン層52は、従来の方法によってシリコンウェハ51上に成長する。次いで、第1の電極53が、20nmのチタン層54続いて200nmのプラチナ層55の第1のスパッタリングによって形成される。当該分野で公知であるように、チタンは、プラチナ層55を酸化物層52に接着するのを促進する。次いで、未完成なデバイスを酸素炉中で好ましくは650℃で30分間アニーリングする。とりわけ、酸素中でのアニーリングは、チタン層54中のチタンを安定化するように働く。次に、金属酸化物薄膜56は、以下で詳細に説明されるように、基板64上に形成される。次いで、第2の電極63は、好ましくはさらに200nmの厚さのプラチナ層をスパッタリングすることによって形成される。キャパシタ形成プロセスのさらなる詳細は以下に説明する。
【0031】
本発明が用いられ得る電荷格納セル80を図2に示す。セル80はシリコン基板81上に形成され、電界酸化物領域82および2つの電気的に相互接続した電気デバイス(トランジスタ83およびキャパシタ84)を含む。トランジスタ83は、ソース85、ドレイン86、ゲート87およびゲート誘電体92を含む。キャパシタ84は、第1すなわち下部電極88、薄膜キャパシタ材料89および第2すなわち上部電極90を含む。任意のバッファ層72および74は、キャパシタ材料89を挟む。第1の電極88は、図面のスケール上1つの要素として示しているが、通常、上記の図1の電極53について述べたように2以上の異なる層を含むことを理解されたい。93のような中間層誘電体は、トランジスタ83のドレイン86がキャパシタ84の第1の電極88に接続している点を除いて、デバイス83と84とを分離する。94および95のような電気コンタクトによって、デバイス83および84へ、および集積回路80の他の部分へ電気接続する。層89が高誘電率材料である場合、集積回路電荷格納デバイス80はDRAMセルであり、層89が強誘電体である場合、デバイス80はFERAMセルである。本発明の非強誘電体高誘電率材料は、ゲート誘電体92、バッファ層72および74の両方、キャパシタ誘電体材料89または中間誘電体93として用いられ得る。本発明の強誘電体材料はキャパシタ材料89として用いられ得る。
【0032】
図3は、誘電体キャパシタ104を支持する基板102を含む金属酸化物デバイス100を示す。金属酸化物薄膜112は、金属酸化物層114、118および112、およびビスマス酸化物層116、120を交互に含む仮想スタック構造である。
【0033】
基板102は、半導体ウェハ106(好ましくはシリコン)および絶縁層108(好ましくは二酸化シリコン)を含む。キャパシタ104は金属下部電極110を含む。金属下部電極構造は、集積回路に使用するのに適した任意の金属または電極構造(例えば、アルミニウム、金、ルテニウム、ロジウムおよびパラジウム)であり得る。図1を参照して述べたように、下部電極110は、好ましくは、プラチナサブ層とチタンサブ層との組合せ(図3には別個に図示せず)である。チタンは、酸化物層からプラチナ成分の剥離を防ぐための接着金属として機能する。タンタル、イリジウムおよびイリジウム酸化物もまた接着金属として有効である。チタンまたは他の接着金属は、典型的には、10nm〜20nmの範囲の厚さまでスパッタリングされる。プラチナは、好ましくは、100nm〜200nmの厚さの範囲である。下部電極金属層110は、DCマグネトロンスパッタリングまたは無線周波数スパッタリングのような従来の原子スパッタリング法によって形成される。
【0034】
本発明による金属酸化物薄膜112は、下部電極110上に配置される。層112は、好ましくは、約400nm未満の厚さであり、最も好ましくは、約200nm未満の厚さである。薄膜112は、金属酸化物層114、118および122が、ビスマス酸化物層116、120と交互に並んだ仮想スタック構造である。薄膜112は、典型的には、1つのタイプの前駆体を基板に付与し、薄膜112の層状構造が処理すると同時に形成するようにその前駆体を処理することによって、形成される。層114と、116と、118と、120と、122との間の点線は、これらの層が別個に形成されているのではなくて、1つの相互接続された化学化合物構造の合わさった部分として同時に形成することを示す。
【0035】
図4は、薄膜金属酸化物152を含む誘電体キャパシタ144を支持する基板102を含む金属酸化物デバイス140を示す。薄膜152は実スタック構造である。薄膜152は、別個に形成され、次いで典型的には、まとめてアニーリングされる異なる層を含む。層150、154および158は、金属酸化物を含み、ビスマス酸化物層151および156と交互になっている。層150と、151と、154と、156と、158との間の実線は、個々に堆積された別個の異なる材料層を示す。薄膜の交互層との間の各実線は、交互層のタイプ間の界面を表すが、隣接する層間には原子の何らかの相互拡散または結合が存在し得るので、界面を明確に規定することができないのは明らかである。本開示における用語「界面」は、通常の意味を有しており、一方の異なる層の表面と隣接する異なる層の表面との物理的に接触する領域を指す。
【0036】
本明細書における「上部(top)」、「上方に(upper)」、「下に(below)」、「下部(bottom)」および「下方に(lower)」のような方向を示す用語は、図1〜4および12〜16の半導体基板51、81、106、302および241のそれぞれに対する方向を意味である。つまり、第1の要素「上に(above)」第2の要素がある場合、その第2の要素は半導体基板から離れていることを意味し、第2の要素が別の要素「下に(below)」ある場合、他の要素よりも半導体基板により近いことを示す。半導体基板51、81、106、302および241の長軸は、本明細書中において「水平」面とみなされる面を規定し、この面に対して垂直な方向を「垂直」と見なす。用語「上に(over)」および「直上(directly over)」は、特定の層の少なくとも一部が別の層の少なくとも一部上に垂直にまっすぐある場合と同義として用いられる。例えば、図1において上部電極63は二酸化シリコン膜52の直上にある。用語「上に(over)」および「直上(directly over)」は、特定の層がその下の層と直接接触していることを意味していない。例えば、金属酸化物膜56は、典型的には、半導体基板51の上部表面と接触しないが、その上にある。用語「上(on)」は、本明細書中において、下にある基板または層上に直接、集積回路層を堆積するか、または形成する場合に頻繁に用いられる。「上に」および「直上」とは異なり、用語「上(on)」および「上に(onto)」は、それらの用語が用いられる種々のコンテキストにおいて明らかであるように、一般的には直接的な接触を意味する。
【0037】
用語「薄膜」は、本明細書中において、集積回路で用いられるべき適切な厚さの薄膜を意味する。このような薄膜は、1ミクロン未満の厚さであり、一般には、1ナノメートル(nm)〜500nmの範囲である。同じ用語、すなわち光学のような基本的に巨視的分野で用いられる「薄膜」と上記用語とを区別することが重要である。巨視的分野での「薄膜」は、1ミクロンを超える膜を意味し、通常2〜100ミクロンである。このような巨視的な「薄膜」は、集積回路の「薄膜」の数百〜数千倍であり、一般的にクラック、空孔および他の欠陥を生成する全体的に異なるプロセスによって作製される。これらクラック、空孔および他の欠陥は、光学および他の巨視的分野においては重要ではないが、集積回路を破壊する。
【0038】
図5は、集積回路のメモリキャパシタ50、84、104における本発明による金属酸化物薄膜56、89、112を製造する一般化した好適なプロセス200のフローチャートを示す。このプロセスは、前駆体溶液の形成(工程202〜208)、およびその前駆体溶液を付与すべき基板64、88、102の調整(工程210および212)から開始する。用語「基板」および「前駆体」の両方が、当該分野において曖昧に用いられている。用語「基板」は、上に集積回路が形成される下にあるウェハ51、81、106、302および241、ならびに上に薄膜層が堆積される任意の物体を意味し得る。本開示においては、「基板」は、目的の層が付与される物体を意味しており、例えば、56のような金属酸化物層について述べている際に、コンテキストがそれ以外を示さない限り、基板64は、上に金属層56が形成される層51、52、54および55を含む。逆に、本明細書中において用語「半導体基板」は、最初の半導体ウェハ材料(通常、シリコン)およびそのドーピング領域を意味する。従って、用語「半導体基板」は、層51、81、106、302および241を指す。当該分野において、用語「前駆体」は、基板に付与される溶液を形成するために、他の「前駆体」と混合される1つの金属を含む溶液、または基板に付与される溶液を意味し得る。本開示においては、一般的に、「初期前駆体」として基板に付与される溶液を形成するために、混合される個々の前駆体を指し、「最終前駆体」または単に「前駆体」として基板に付与される前駆体を指す。
【0039】
金属酸化物の前駆体を作製する一般的な方法は、1996年9月24日にScottらに付与された米国特許第5,559,260号に記載されており、同特許を本明細書中に完全に含まれるものとして参考として援用する。ペロブスカイト金属酸化物薄膜を製造する方法は、1997年4月29日にAzumaらに付与された米国特許第5,624,707号に記載されており、同特許を本明細書中に完全に含まれるものとして参考として援用する。
【0040】
図5の工程202において、Aサイト原子、Sサイト原子(適切な場合)およびBサイト原子の初期前駆体を調製する。図5に示されるように、Aサイト前駆体は、金属酸化物の所望の組成に依存して、元素A原子または元素A’原子、あるいはその両方の前駆体を含み得る。図5の記号Bは、元素B原子の初期前駆体を示す。「その他」と指定されるものは、1つ以上のB”原子前駆体、または1つ以上のSサイト前駆体、または1つ以上のAサイト前駆体、または1997年4月29日にAzumaらに付与された米国特許第5,624,707号に記載されるドーパントを意味し得る。図5の工程202において、(BaxSr1-x)(TayNb1-y26前駆体について、A、A’、Taおよび「その他」の初期前駆体にそれぞれ相当する元素Sr、Ba、TaおよびNbを上記初期前駆体の括弧内に示す。これら元素は、本発明による最終前駆体の一例として初期前駆体に相当する。
【0041】
典型的には、本発明の所望の金属酸化物用のストック溶液は、工程204において個々の金属元素の初期前駆体を混合することによって調製される。この工程は、薄膜56、89、112を堆積する直前に行ってもよいが、一般的には前もってすでに行われている。溶液調製工程202および204は、好ましくは、不活性雰囲気中で行われる。本発明の方法の特徴は、本発明による高誘電率絶縁体薄膜を形成するための、金属有機堆積(「MOD」)前駆体および堆積技術を用いることである。個々のMOD前駆体は、所望の化合物の金属(例えばバリア層化合物のセリウム、高誘電体化合物のストロンチウムおよびタンタル、またはカルボン酸を含む金属アルコキシド、カルボン酸とアルコールとを含む金属アルコキシド)のそれぞれを相互作用させ、反応生成物を溶媒に溶解させることによって形成される。用いられ得るカルボン酸は、2−エチルヘキサン酸、オクタン酸およびネオデカン酸を含み、好ましくは2エチルヘキサン酸を含む。用いられる得るアルコールは、2−メトキシエタノール、1−ブタノール、1−ペンタノール、2−ペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2−エチル−1−ブタノール、2−エトキシエタノールおよび2−メチル−1−ペンタノールを含み、好ましくは2−メトキシエタノールを含む。用いられ得る溶媒は、キシレン、n−オクタン、2−メトキシエタノール、n−ブチルアセテート、n−ジメチルホルムアミド、2−メトキシエチルアセテート、メチルイソブチルケトン、メチルイソアミルケトン、イソアミルアルコール、シクロヘキサノン、2−エトキシエタノール、2−メトキシエチルエーテル、メチルブチルケトン、ヘキシルアルコール、2−ペンタノール、エチルブチレート、ニトロエタン、ピリミジン、1,3,5トリオキサン、イソブチルイソブチレート、イソブチルプロピオネート、プロピルプロピオネート、エチルラクテート、n−ブタノール,n−ペンタノール、3−ペンタノール、トルエン、エチルベンゼン、1−ブタノール、1−ペンタノール、2−ペンタノール、1−ヘキサノール、2−ヘキサノール、3−ヘキサノール、2−エチル−1−ブタノール、2−エトキシエタノールおよび2−メチル−1−ペンタノールならびにその他多くを含む。
【0042】
好ましくは、Aサイト原子はストロンチウムおよびバリウムであり、Bサイト原子はタンタルであり、Sサイト原子はビスマスである。好ましくは、ストック溶液は、バリウム、ストロンチウム、ビスマス(適切な場合)およびタンタルの化学量論量を2−メトキシエタノールおよび2−エチルヘキサン酸と反応させることによって調製される。他の化合物を用いて、各金属のアルコキシド、カルボキシレート、2−エチルヘキサノエート、ネオデカノエートおよびオクタノエートを含む前駆体溶液を形成することができる。
【0043】
工程204で調製された前駆体は、好ましくは、最終前駆体である。しかしながら、任意で、工程206においてその混合物をさらに加熱して蒸留してもよいし、または工程208において前駆体を付与する直前でさらなる溶媒(単数または複数)を添加して、形成される特定の薄膜を最適にするように濃度を調節してもよい。例えば、粘度および沸点を調節するために、n−ブチルアセテートを添加してもよいし、または溶媒交換工程を濃度の調節と同時にあるいは濃度の調節に続いて行ってもよい。溶媒交換は、主に、ストック溶液を製造するのに好都合であり、かつ/または保存に適した前駆体になる溶媒を、好適な「スピンオン」プロセスのような付与プロセスに適切な粘度を有する溶媒と交換する。本明細書中において、適切な粘度は、好ましくは、ストック溶液よりも低い粘度を意味する。好ましくは、溶媒交換において、キシレンは、ストック溶液の2−メトキシエタノール溶媒と交換される。キシレン交換において、キシレンが溶液に添加され、その溶液は、他の溶媒(例えば、2−メトキシエタノール)を蒸発させるために、攪拌しながら約130℃まで加熱される。溶媒交換工程は、非常に有効であるが、必須ではない。n−ブチルアセテートもまた、良好に機能する溶媒となり得ることが分かっている。従って、溶媒交換は、n−ブチルアセテート交換であり得る。この前駆体を形成する方法によって、極めて正確な量の初期前駆体をストック溶液に添加することができ、溶媒交換工程における攪拌、または他の混合工程によって、前駆体化合物の均一な混合を確実にする。本明細書中に記載の金属有機前駆体を用いることは、ゲルが形成されないために重要である。ゲルは、前駆体の構成要素の混合および均一な分散を妨げる傾向がある。前駆体を作製する際に用いられるすべての液体化学製品(例えば、キシレン、n−ブチルアセテートおよび2−メトキシエタノール)は、好ましくは、半導体分野においては周知な用語である半導体品位化学製品(semiconductor grade chemical)である。
【0044】
基板上に液体コーティングを形成するために、工程214における最終前駆体の付与は、上述の米国特許第5,456,945号に記載されるミスト堆積プロセスによって行われ得るが、ディップコーティングおよび基板に液体を付与する他の方法を用いることもできる。好ましくは、スピンオンプロセスが用いられる。好ましくは、ウェハは、5秒〜1分間の間、750RPM〜6000RPMのスピン速度で回転される。これらのパラメータは、付与される溶液の濃度および粘度、ならびに得られる層の所望の厚さに依存する。工程216〜222において、基板上の前駆体は、次いで、金属酸化物の固体薄膜を形成するために処理される。処理工程は、乾燥、ベーキング、アニーリングまたはRTP(高速熱処理)工程の形態の加熱工程を含む。本明細書中において、ベーキングは、その材料のアニール温度未満の温度における加熱を意味する。RTP工程は、RTPベーキングまたはRTPアニーリングのいずれかであり得る。一般に、処理は、米国特許第5,456,945号に記載される加熱工程によって行われるが、あるいは、またはさらに、基板および前駆体を真空に曝すことによって、または紫外線照射に曝すことによって処理されてもよい。好適な実施形態において、前駆体は、工程216において乾燥し、工程218においてベーキングし、工程222において酸素アニーリングすることによって処理される。乾燥工程216は、30秒〜10分間の間、100℃〜500℃の温度で、好ましくはホットプレート上で行われる。好ましくは、乾燥工程は、複数の乾燥副工程で行われる。各副工程は、前の副工程の温度よりも高い温度で行われる。以下の実施例1〜3では、乾燥工程は、2つの副工程で行われた。1つの副工程は、1分間150℃で乾燥し、もう1つの副工程は、4分間260℃で乾燥した。ベーキング工程218もまた、高速熱プロセス(RTP)ベーキングまたは炉ベーキングを含み得るが、好適な実施形態では、このベーキング工程はホットプレートベーキングである。RTP工程218を利用する場合、ウェハを500℃〜850℃の範囲の高温まで急速に上昇させるためにハロゲン光源を用い、15秒〜3分間ベーキングする。アニーリング工程222は、30分〜3時間500℃〜850℃の温度で行われる。以下の実施例1〜3では、アニーリング工程は、800℃で70分間行われた。前駆体の1回のコーティングが付与されると、乾燥工程216、ベーキング工程218およびアニーリング工程222が順次行われる。しかしながら、通常、最終前駆体の2以上のコーティングが付与される。複数回のコーティングプロセス220において、乾燥工程216およびベーキング工程218は、前駆体をそれぞれ付与した後に行われ、次いで、次の前駆体のコーティングが付与される。アニーリング工程222は、好ましくは、前駆体のすべてのコーティングが付与された後に行われるが、各乾燥工程後に行ってもよい。
【0045】
前駆体の所望数のコーティングが付与され、乾燥され、ベーキングされ、そしてアニーリングされた後、工程224において、好ましくはプラチナ層をスパッタリングすることによって上部電極63、90、124が堆積される。次いで、工程226において、キャパシタは、イオンミリング、ケミカルエッチング等の従来のプロセスでパターニングされる。以下の実施例では、ネガマスク光リソグラフィーを用いたイオンミリングプロセスを用いて、キャパシタに線を入れた。300ワットのRF出力で30分間標準IPCを用いてレジスタの剥離を行った。次いで、工程228において、第2の酸素アニーリングを行う。第2の酸素アニーリングは、15分〜1時間の間、500℃〜850℃の温度で行う。以下の実施例1〜3では、第2のアニーリングは、炉へ入れる時間(10分)および炉から取り出す時間(10分)を含む30分の間800℃で行われた。最後に、工程230において、集積回路を従来のプロセスを用いて完成する。
【0046】
完成した集積回路80は、好ましくは、集積回路の能動電気コンポーネントとして、誘電体薄膜56、89、112のような本発明の酸化物材料の少なくとも一部を含む。能動電気コンポーネントは、回路の機能に能動的に関与するコンポーネントである。例えば、誘電体薄膜89は、メモリキャパシタ84の誘電体機能を提供するため、能動コンポーネントであり、一方絶縁体92は、集積回路80の電気要素を分離する働きしかないことから能動コンポーネントではない。
【0047】
DRAMおよびバイパスキャパシタにおいて、キャパシタンス電圧係数値Vccは、動作範囲全体にわたって10%を超えてはいけない。ゲート誘電体では、Vcc値は5%を超えてはいけない。多くの集積回路用途では、20℃〜140℃の温度範囲について計算されたキャパシタンス温度係数値は、100ppmを超えてはいけない。しかしながら、他の用途では、Tcc値はそれほど厳しくなく、1000以上の値が許容され得る。
【0048】
(実施例1)
一連のP型100Siウェハ基板51を酸化して、二酸化シリコン層52を形成した。基板を30分間180℃の真空オーブン中で脱水した。アルゴン雰囲気、8mTorr(1.07×10-3N/m2)圧力および0.53アンペアを用いて、実質的にチタンからなり、20nmの厚さを有する接着層54を基板上にスパッタリング堆積した。次いで、同じスパッタリング条件下で、厚さ300nmを有する下部プラチナ電極55を堆積した。次に、下部電極を6l/mのO2ガス流量中、10分間の出し入れを用いて30分間、650℃での予備アニーリングした。真空オーブン中30分間180℃で、脱水ベーキングを行った。
【0049】
一連の0.25モル(BaxSr1-x)Ta2前駆体溶液を調製した。この前駆体溶液では、バリウムおよびストロンチウムの化学量論量を0.0〜1.0の間のxの値(0.1間隔)に相当するように変化させた。この溶液をn−ブチルアセテート溶媒を用いて0.15モル濃度まで希釈した。特定の化学量論の前駆体に相当する各実験用ウェハについて、0.15モル濃度の前駆体溶液の第1のスピンコーティングを30秒間1400rpmで下部電極55上に堆積した。これをホットプレートを用いて150℃で1分間、続いて260℃で4分間ベーキングした。RTPベーキングを725℃で30秒間行った。スピンオン、乾燥およびベーキングのシーケンスを2回行った。得られる固体材料薄膜を6l/mのO2ガス流量中、10分間の出し入れを用いて70分間、800℃でアニーリングし、160nm〜170nmの範囲の厚さの多結晶(BaxSr1-x)Ta26薄膜を形成した。次に、200nmの厚さの上部電極層63を作製するためにプラチナをスパッタリングした。ストロンチウムタンタレート薄膜をアニーリングする工程と上部電極を堆積する工程との間で3時間を超える時間の経過がある場合には、上部電極を堆積する前に、そのウェハを真空オーブン中で180℃30分間脱水した。キャパシタを形成するためにプラチナ層およびストロンチウムタンタレート層をイオンミリングし、次にアッシングを行った。その後、6l/mのO2ガス流量中、10分間の出し入れを用いて30分間、800℃で最後の酸素アニーリングを行った。それぞれパターニングされたキャパシタは、典型的には、約7800平方ミクロンの表面積を有した。個々のキャパシタの各々における誘電体金属酸化物薄膜の膜厚は、150nm〜200nmの範囲であった。すべてのプロセスは、ColoradoのColorado Springsで行われた。
【0050】
得られた材料は強誘電体ではなかった。(BaxSr1-x)Ta26薄膜およびキャパシタの容量特性および誘電体特性を測定した。測定は、典型的には、処理された11の異なるウェハのそれぞれから選択された1つの個々のキャパシタデバイスについて行われた。0〜±5Vの電圧範囲でキャパシタンスを測定し、Vcc値を算出した。また、20℃〜140℃の温度範囲にわたってキャパシタンスを測定し、Tcc値を算出した。20℃で測定された各テストキャパシタのキャパシタンス値を対応する誘電率ε20の値に換算した。実験結果を表1に示す。
【0051】
【表1】
Figure 0003996767
表1において、すべてのx値について、Vcc値は1%未満である。Tccの最小値は、x=0.8〜0.9であるキャパシタ9および10については約90である。表1のデータによると、(BaxSr1-x)Ta26材料の前駆体においてx=0.8の場合に、Tccについては最良の結果が得られ、この場合の誘電率ε20は約40を有した。
【0052】
従って、本発明の(BaxSr1-x)Ta26材料の実験値は、5ボルト動作および3ボルト動作のVccおよびTccの要件を満たす。この材料は、実質的に、印加電圧に対する依存性を示さなかった。逆に、BSTのような他の公知の高誘電体材料では、誘電率εの値は、印加電圧が0ボルトに近づくと鋭いピークに達し、εの値は、典型的には、0ボルト〜±3ボルトの間で60%以上低下する。
【0053】
(実施例2)
実施例1の方法に従って実験用キャパシタを製造した。但し、0.0〜1.0の間のxの値(0.1間隔)に相当する化学量論式(BaxSr1-x2Ta27を有する金属酸化物材料の前駆体溶液を用いた。
【0054】
測定および算出は、実施例1と同様にして行われた。ここでもやはりこの材料は強誘電体ではなかった。結果を表2に示す。Vccの実験値は、すべてのxの値に対して実質的に0であった。Tcc値は、xの値が約0.6までは約100以下であった。この範囲内において、ε20値は、x=0.6で最大約40であった。x=0.9において、ε20値は約60であり、Tccは約180であった。従って、本発明の(BaxSr1-x2Ta27材料の実験値は、5ボルト動作および3ボルト動作のVccおよびTccの要件を満たす。
【0055】
【表2】
Figure 0003996767
(実施例3)
実施例1の方法に従って実験用キャパシタを製造した。但し、0.0〜1.0の間のxの値(0.1間隔)に相当する化学量論式(BaxSr1-x2Bi2Ta210を有する金属酸化物材料の前駆体溶液を用いた。本発明によれば、液体前駆体の組成および得られる金属酸化物薄膜は、パイロクロア型酸化物(BaxSr1-x2Ta27およびBi23の組合せとみなされ得る。
【0056】
測定および算出は、実施例1と同様にして行われた。ここでもやはりこの材料は強誘電体ではなかった。範囲0≦x≦0.7のxの値の結果を表3に示す。
【0057】
【表3】
Figure 0003996767
Vccの実験値は、すべてのxの値に対して実質的に0であった。Tcc値は、xの値すべてが約0.8までは約100以下であった。この範囲内において、ε20値は、0.4≦x≦0.8において最大約60であった。従って、本発明の(BaxSr1-x2Bi2Ta210材料の実験値は、5ボルト動作および3ボルト動作のVccおよびTccの要件を満たす。約x=0.6においてTcc値に大きな飛びがあった。従って、Tccの低い値が重要である場合には、表1の実験データに基づいたxの好適な値は、0≦x≦0.6である。x≒0.4〜0.5では、Tccは約40ppmであり、ε20値は約60である。約60のε20値は、Tcc≦100の範囲における実施例1および2で測定された最大値に比べて50%大きく、集積回路に用いられる従来の誘電体材料の誘電率に比べて数倍大きい。
【0058】
(実施例4)
一連のP型100Siウェハ基板51を酸化して、二酸化シリコン層52を形成した。基板を30分間180℃の真空オーブン中で脱水した。アルゴン雰囲気、8mTorr(1.07×10-3N/m2)圧力および0.53アンペアを用いて、実質的にチタンからなり、200Åの厚さを有する接着層54を基板上にスパッタリング堆積した。次いで、同じスパッタリング条件下で、厚さ3000Åを有する下部プラチナ電極55を堆積した。次に、下部電極を5l/mのO2ガス流量中、10分間の出し入れを用いて30分間、650℃での予備アニーリングした。真空オーブン中30分間180℃で、脱水ベーキングを行った。0.25モル濃度SrTa2前駆体溶液をn−ブチルアセテート溶媒を用いて0.15モル濃度まで希釈した。ストロンチウムタンタル前駆体の0.15モル濃度溶液の第1のスピンコーティングを30秒間2000rpmで下部電極55上に堆積した。これをホットプレートを用いて160℃で1分間、続いて260℃で4分間ベーキングした。このスピンコーティングおよびベーキングのシーケンスを第2のスピンコーティングについて繰り返すと、異なるウェハ上に得られる固体材料薄膜の厚さは、500Å〜950Åの範囲でウェハごとに異なっていた。1回のコーティングのみを付与した場合には、膜厚は約300Åであった。約2000Åの膜厚を得るために、3または4回のスピンオンコーティングを付与した。所望の膜厚が得られた後、固体材料薄膜を5l/mのO2ガス流量中、10分間の出し入れを用いて60分間、800℃でアニーリングし、多結晶SrTa26薄膜を形成した。次に、2000Åの厚さの上部電極層63を作製するためにプラチナをスパッタリング堆積した。ストロンチウムタンタレート薄膜をアニーリングする工程と上部電極を堆積する工程との間で3時間を超える時間の経過がある場合には、上部電極を堆積する前に、そのウェハを真空オーブン中で180℃30分間脱水した。キャパシタを形成するためにプラチナ層およびストロンチウムタンタレート層をイオンミリングし、次にアッシングを行った。その後、5l/mのO2ガス流量中、10分間の出し入れを用いて30分間、800℃で最後の酸素アニーリングを行った。それぞれパターニングされたキャパシタは、典型的には、約8000平方ミクロンの表面積を有した。すべてのプロセスは、ColoradoのColorado Springsで行われた。
【0059】
SrTa26薄膜およびキャパシタの容量特性および誘電体特性を測定した。この材料は強誘電体ではなかった。測定は、典型的には、約5個の異なるウェハから選択された約20の個々のデバイスについて行われた。図6〜11に結果を示す。
【0060】
図6は、上述の例示的なプロセスによって作製された本発明によるSrTa26キャパシタの印加電圧を関数として測定されたキャパシタンス(F/μm2)のグラフである。0〜約±5ボルトの電圧範囲においてキャパシタンスを測定した。キャパシタは面積7854μm2を有し、SrTa26薄膜は厚さ750Å(75nm)を有した。ほぼ平坦な曲線は、典型的な集積回路における動作電圧の範囲にわたって電圧のキャパシタンスに及ぼす影響が実質的にないことを示す。ゲート誘電体では、キャパシタンス電圧係数Vccは5%を超えてはいけない。DRAMおよびバイパスキャパシタでは、Vcc値は、動作範囲全体にわたって10%を超えてはいけない。従って、本発明のSrTa26材料は、3ボルト動作のこれらの要件を満たす。逆に、以前より公知の高誘電体材料のうちで最良の誘電体材料(例えば、BST)であっても、キャパシタンス(およびεr値)は、印加電圧が0ボルトに近づくと鋭いピークに達し、キャパシタンス値(およびεr値)は、典型的には、0ボルト〜±3ボルトの間で60%以上減少する。
【0061】
図7は、図6のデータが得られた同じキャパシタサンプルにおいて測定されたリーク電流対印加電圧のグラフである。集積回路デバイスにおける通常の範囲の動作電圧範囲(すなわち、±3ボルト)にわたって約10-8アンペア/cm2以下のリーク電流であることを示す。これらの値は、BSTまたはPZTのような非線形誘電体のリーク電流と比較して少なくとも一桁(すなわち、10倍)低い。
【0062】
図8は、SrTa26キャパシタにおける分極値(単位μC/cm2)対印加電圧(kV/cm)のグラフであり、一方のキャパシタは厚さ390Å(39nm)を有し、もう一方のキャパシタは厚さ770Å(77nm)を有する。グラフは、印加電圧に対する誘電体の分極の望ましい線形依存性を示す。また、分極は膜厚に実質的に依存しないことも示す。
【0063】
図9は、厚さ2000Å(200nm)を有するSrTa26薄膜において測定された比誘電率εr対周波数のグラフである。このグラフは、誘電率が、集積回路の通常の動作周波数範囲を超える106Hzまでの周波数に実質的に依存しないことを示す。また、誘電率が100の値を超えて一定であることも示す。
【0064】
図10は、厚さ2000Å(200nm)を有するSrTa26薄膜において10kHzで測定された比誘電率εr対温度のグラフである。このグラフは、温度が増加するに従って誘電率が減少することを示すが、最大動作温度150℃を超えても誘電率が100を超えていることも示す。さらに、集積回路の通常の動作条件に関係する50℃〜100℃の温度範囲において、温度にともなったεrの変動は10%未満である。
【0065】
図11は、SrTa26キャパシタの比誘電率εr対厚さ(ナノメートル単位)のグラフである。このグラフは、膜厚に対する誘電率の依存性がほとんどないことを示し、それにより誘電体薄膜の膜厚のスケーリングにのっとる有力な候補であることを示す。
【0066】
(実施例5)
SrTa26の代わりにまたはSrTa26に加えて誘電体金属酸化物を含む実験用キャパシタおよび誘電体薄膜を実施例4の方法に従って製造した。この薄膜は、一般化学量論式AB26(Aはストロンチウムおよびバリウムのうち少なくとも1つを表し、Bはタンタルおよびニオブのうち少なくとも1つを表す)によって表される化学組成を有する誘電体金属酸化物を含んでいた。従って、この薄膜は、SrTa26、SrNb26、BaTa26およびBaNb26の誘電体金属酸化物の前駆体から作製された。このSrNb26のような金属酸化物化合物のうち1つの特定のタイプのみを含む材料と、複数の化学種からなる固溶体もまた含む薄膜を製造し、テストした。特に、SrTa26、SrNb26、BaTa26およびBaNb26の1つの化合物金属酸化物の薄膜を形成し、テストした。また、式(SrxBa1-x)Nb26(0.25≦x≦0.75)およびSr(TayNb1-y26(0≦y≦1)によって表される金属酸化物の固溶体を含む薄膜を形成し、テストした。実験用ウェハの製造において、上述の式における式の下付きの添え字xおよびyの値は、示される範囲にわたって0.2ずつ変化させた。実施例4と同様に、各金属酸化物の初期前駆体溶液は、例えば、BaTa2の0.25モル濃度溶液のような、0.25モル濃度であった。実施例4と同様に、n−ブチルアセテートを用いて希釈することによって1つの化学種の最終前駆体を調製した。複数の初期前駆体を混合し、必要ならば溶媒を添加することによって固溶体薄膜の最終前駆体を調製した。示される各組成についていくつかのキャパシタを製造しテストした。
【0067】
SrTa26以外の材料のうち、いくつかまたはすべてを含むキャパシタの誘電体薄膜は、SrTa26のみの誘電体材料について測定された比誘電率に比べて低い比誘電率εrを有した。測定された比誘電率εrの値は、典型的には30〜70の範囲であった。それにもかかわらず、そして極めて重要なことには、誘電体薄膜およびキャパシタがSrTa26に類似の他の特性を示した。つまり、印加電圧、周波数、温度および厚さの実施例5の材料に及ぼす影響は、これらの因子が実施例4におけるSrTa26に及ぼす影響と同様であった。従って、SrTa26材料以外の材料を含む薄膜の誘電率および容量値は、SrTa26のみの材料に比べて低いが、動作変数に対する好ましい依存性によってもまた、それら材料は集積回路に用いるのに適している。
【0068】
図12は、本発明による材料をゲート電極として用いたDRAMメモリセル300を示す。DRAMメモリセル300は、半導体基板302を含むウェハ301上に形成されたトランジスタ314とキャパシタ328とを含む。半導体基板302は、シリコン、ガリウム砒素、シリコンゲルマニウム、または他の半導体を含み得、またルビー、ガラスまたは酸化マグネシウムのような他の基板材料を含み得る。好適な実施形態では半導体基板302はシリコンである。電界酸化物領域304は、半導体基板302の表面上に形成される。半導体基板302は、ハイドープされたソース領域306とハイドープされたドレイン領域308とを含み、これらはドープされたチャネル領域309の周りに形成される。ドープされたソース領域306、ドレイン領域308およびチャネル領域309は、好ましくは、n型ドープ領域である。本発明による電気的に非導電性材料の薄膜を含むバッファ/拡散バリア層310は、半導体基板302のチャネル領域309上に配置される。バッファ/拡散バリア層310は、1nm〜30nm、好ましくは1nm〜5nmの範囲の厚さを有する。本発明による高誘電率絶縁体の薄膜を含むゲート絶縁体311は、バッファ/拡散バリア層310上に配置される。さらに、ゲート電極312は、ゲート絶縁体311上に配置される。ゲート絶縁体311は、1nm〜50nm、好ましくは5nm〜20nmの範囲の厚さを有する。これらのソース領域306、ドレイン領域308、チャネル領域309、バッファ/拡散バリア層310、ゲート絶縁体311およびゲート電極312が一緒になってMOSFET314を形成する。
【0069】
好ましくはBPSG(ボロンドープのリンシリケートガラス)から作製される第1の中間層誘電体(「ILD」)層316は、半導体基板302および電界酸化物領域304上に配置される。ILD316は、ソース領域306に対するバイア317およびドレイン領域308に対するバイア318を形成するようにパターニングされる。バイア317、318は、それぞれプラグ319、320を形成するように埋められる。プラグ319、320は電気的導電体であり、典型的には、多結晶シリコンまたはタングステンを含む。本発明による電気的導電性バッファ/拡散バリア層321は、ILD316上に位置されてプラグ320と電気的に接触する。拡散バリア層321は例えばIrO2から作製され、典型的には、1nm〜30nm、好ましくは1nm〜5nmの厚さを有する。
【0070】
図12に示されるように、下部電極層322は拡散バリア層321上に配置される。下部電極は、プラチナ、パラジウム、銀および金のような非酸化の貴金属を含むことが好ましい。貴金属に加えて、アルミニウム、アルミニウム合金、アルミニウムシリコン、アルミニウムニッケル、ニッケル合金、銅合金およびアルミニウム銅のような金属を誘電体メモリまたは強誘電体メモリの電極に用いてもよい。好適な実施形態では、下部電極322はプラチナから作製され、100nmの厚さを有する。好ましくは、その電極と、回路の隣接した下にある層または上にある層との接着性を促進するために、チタンのような少なくとも1つの接着層(図示せず)を含む。本発明による高誘電率絶縁体の薄膜を含むキャパシタ誘電体324は下部電極層322上に配置される。キャパシタ誘電体324は、5nm〜500nm、好ましくは30nm〜100nmの範囲の厚さを有する。プラチナから作製され、100nmの厚さを有する上部電極層326は、キャパシタ誘電体324上に配置される。下部電極層322、薄膜キャパシタ誘電体324および上部電極層326が一緒になってメモリキャパシタ328を形成する。拡散バリア層321は、キャパシタ誘電体324および下部電極322から金属原子および酸素が半導体基板内へ拡散するのを防ぐ。NSG(ノンドープシリケートガラス)から作製される第2の中間層誘電体層(ILD)336は、ILD316、バッファ/拡散バリア層321および誘電体メモリキャパシタ328を覆うように堆積される。PSG(リンシリケートガラス)膜またはBPSG(ボロンドープのリンシリケートガラス)膜もまた層336に用いられ得る。ILD316およびILD336はまた、本発明による金属酸化物材料から作製され得る。ILD336は、プラグ319へのバイア337を形成するためにパターニングされる。金属配線膜は、ILD336を覆い、バイア337を埋めるように堆積され、次いでソース電極配線338および上部電極配線339を形成するためにパターニングされる。配線338、339は、好ましくは、Al−Si−Cu標準相互接続金属を含み、約200nm〜300nmの厚さを有する。
【0071】
図12は、本発明の方法を用いて製造され得る誘電体メモリセルの多くの変形例のうちの1つのみを示す。キャパシタ328がILD336の上部に積層され、従ってトランジスタ314から分離された図示される構造は、従来より「スタック型キャパシタ」構造と呼ばれる。キャパシタはまた、例えば、1995年11月14日にMiharaらに付与された米国特許第5,466,629号に示されるようにドレイン308へのコンタクトホールに形成され得る。本発明の組成および構造はまた、不揮発性強誘電体FETメモリセルのFETにおけるゲート絶縁体を形成するために用いられ得る。図13〜16は、この実施形態のうちいくつかの代替例を示す。
【0072】
図13は、本発明による強誘電体FET240の一部の断面図を示す。FET240は、好ましくはp型シリコンである基板241を含む。深いnウェル243は基板241に形成され、それほど深くないpウェル245がnウェル内に形成される。ハイドープ領域242および244は、好ましくはn型であり、pウェル245に形成される。これらのドープ領域242および244は、その領域に印加される相対電圧に応じてソースまたはドレインのいずれかであり得るため、これらの領域を本明細書中ではソース/ドレインと呼ぶ。チャネル領域246(好ましくはn型でもあるが、ソース/ドレイン242、244ほどハイドープされていない)は、ソース/ドレイン242、244間に形成される。絶縁層251は、好ましくは、基板241のチャネル領域246上に形成される。好適な実施形態では、絶縁層251は、層250および252を含み、これらの層のそれぞれが異なる絶縁体であり、これらの層のうち少なくとも1つが本発明による材料を含む。強誘電体層254は、チャネル246、好ましくは絶縁体252上に形成され、ゲート電極256は強誘電体254上に形成される。配線層は、ゲート256への電気コンタクト260、ソース/ドレイン242への電気コンタクト262、ソース/ドレイン244への電気コンタクト264および基板241への電気コンタクト266を形成する。コンタクト266は、好ましくは、深いnウェル243とpウェル245との間の接合にわたって配置される。FET240の製造および機能は、同時係属中で1999年6月10日に出願されたLimらの米国特許出願第09/329,670号に詳細に記載されている。
【0073】
図14〜16は、種々の強誘電体FETゲート構成を示す。これらの図には、基板アーキテクチャの詳細を示さない。好適な実施形態において、基板アーキテクチャは、図1に示される深いnウェルおよびpウェルを含む。しかしながら、これらのゲート構成は、図13に示される基板構成と組み合わされ得る。このような基板構成は、異なる基板および米国特許出願第09/329,670号に記載されるメモリ構成、または多くの他の基板および当該分野で公知または当該分野で利用可能となるメモリ構成のうち任意である。図14はMFMISFETを示し、これもまた本発明をインプリメントするためのFETとして機能し得る。このFETもまた半導体351上に形成され、ソース/ドレイン352、353、チャネル354、絶縁層356、強誘電体358および電極359を含むが、浮遊ゲート電極357も含む。絶縁層356は、上述したように1つの絶縁層または以降に記載されるように複数の絶縁層であり得る。コンタクト、配線層および他のアーキテクチャは、示される形態または上述の形態の任意の形態に作製され得る。
【0074】
図15は、絶縁層456がバリア層461および絶縁体層460を含む点を除いて、図14のMFMISFET350と同様のMFMISFET450を示す。このFETもまた半導体451上に形成され、ソース/ドレイン452、453、チャネル454、強誘電体458、電極459および浮遊ゲート電極457を含む。バリア層461は好ましく酸化イリジウム(IrO2)であるが、他の適切なバリア材料もあり得る。さらに、MFMISFET450は、本発明の別の特徴を示す。この特徴とは、強誘電体キャパシタ部464(すなわち、MFM部)が導体457、絶縁体456およびチャネル454によって形成される線形キャパシタ部465(すなわち、MIS部)よりも小さな容量性面積を有するということである。ここで「容量性面積」は、キャパシタの長手方向に対して平行な面であるキャパシタの面内のキャパシタ面積を意味する。この面は、水平方向(図15の紙面に向かう方向)によって規定される面である。キャパシタンスは、キャパシタの容量性面積に比例し、直列接続された複数のキャパシタのそれぞれにわたる電圧降下は、キャパシタの各キャパシタンスに反比例するので、FETにわたる合計電圧降下の大部分は強誘電体にわたって生じる。次いで、これにより強誘電体FETが低電圧で動作し得る。好ましくは、MIS部の容量性面積は、MFM部の容量性面積の2〜10倍、最も好ましくは約6倍である。強誘電体キャパシタにわたる、より大きな電圧降下を生成するために、465のような絶縁体キャパシタに比べて小さな面積である464のような強誘電体キャパシタを製造するこの特徴は、本明細書中で開示される本発明のすべての実施形態に用いられ得る。
【0075】
図16は、電極557と絶縁層573との間にポリシリコン層572を含むMFMISFET550を示す。このFETもまた半導体551上に形成され、ソース/ドレイン552、553、チャネル554、バリア層561、強誘電体558および電極559を含む。バリア層561は導電性であり得るが、好ましくは絶縁体である。この実施形態もまた、強誘電体(すなわち、MFIM)キャパシタ564よりも大きな面積を有する、ポリシリコン層572、絶縁体573およびチャネル554よって形成される線形(すなわちMIS)キャパシタ565をともなって示される。この実施形態では、強誘電体キャパシタ564の面積を有する電極557を示すが、この電極557は絶縁体キャパシタ565の面積を有していてもよい。
【0076】
上記の実施形態のいずれにおいても、310、250、461および561のような1つの絶縁バリア層は、Si34、IrO2、ZrO2、CeO2およびY23からなる群から選択される化合物であり得る。しかしながら、絶縁バリア層はまた、本発明による材料のうちの1つでもよい。このバリア層は、好ましくは、2nm〜20nm、もっとも好ましくは約4nmの厚さを有する。81、302、241、351、451および551のような基板がシリコンから作製される場合はすべて、シリコン基板とバリア層250のような第1のFET絶縁体層との間に形成されるシリコン酸化物の薄層もまた存在し得る。このSiO2絶縁層は、好ましくは、4ナノメートル(nm)〜20nmの厚さを有する。これらの実施形態のそれぞれがまた、92、311、252、356、460および573のような少なくとも1つの絶縁体層を含む。この少なくとも1つの絶縁体層は、好ましくは、本発明による非強誘電体高誘電率材料のうちの1つである。この絶縁体は、好ましくは、4ナノメートル(nm)〜50nmの厚さである。ゲート絶縁体およびバッファ層について、好ましい材料は、タングステンブロンズ型酸化物である。好ましくは、この材料は、式AB26(Aは、ストロンチウム、バリウム、カルシウム、マグネシウムおよび鉛からなる群から選択される材料を表し、Bは、タンタル、ニオブおよびタングステンからなる群から選択される材料を表す)を有するタングステンブロンズである。この材料は、式AB26を有する酸化物のうち2つ以上の固溶体であり得る。最も好ましい材料は、式Sr(TayNb1-y26(0≦y≦1)を有する。
【0077】
本発明は、先に開示されたキャパシタ、トランジスタ、DRAMおよび強誘電体FETの種々の実施形態の特徴のうち任意の特徴およびすべての特徴を互いに組み合わせ得ることを企図していることを理解されたい。つまり、示される実施形態は例示であり、各特徴を示すために選択されたものである。そしてこの実施形態は、示される特定の組合せに制限されるように意図されていない。
【0078】
図17は、集積回路のDRAMメモリセル300(図12)を形成するための、
本発明による一般化されたプロセス600のフローチャートを示す。本発明の方法の特徴は、バッファおよび拡散バリア層と、本発明による他の高誘電率絶縁体薄膜を形成するための、金属有機堆積(「MOD」)前駆体および堆積技術を用いることである。個々のMOD前駆体は、所望の化合物の金属(例えば、バリア層化合物のセリウム、高誘電体化合物のストロンチウムおよびタンタル、または金属アルコキシド)のそれぞれと、カルボン酸と、またはカルボン酸およびアルコールとを相互作用させ、その反応生成物を溶媒に溶解させることによって形成される。用いられ得るカルボン酸、アルコールおよび溶媒は以前に掲載した通りである。金属、金属アルコキシド、酸、およびアルコールが反応して、金属アルコカルボキシレート、金属カルボキシレートおよび/または金属アルコキシドの混合物を形成し、金属−酸素−金属結合を形成し、反応によって生成される低沸点有機物をすべて蒸発させて除去するために、この混合物を加熱して、必要ならば拡散する。初期MOD前駆体は、通常、使用前にバッチ単位で作製されるか、または購入される。最終前駆体混合物は、通常、基板へ付与する直前に調製される。最終調製工程は、典型的には、混合、溶媒交換および希釈を含む。液体堆積技術(例えば、LSMCD)を用いる場合、エトキシヘキサノエートが溶液中安定であり、長い使用期間を有し、平滑な液体膜を形成し、基板上で容易に分解するため、金属2−エトキシヘキサノエートが、好ましい前駆体化合物である。
【0079】
工程610において、シリコン半導体基板302を含む半導体ウェハは、好ましくは、ウェハをH2SO4中に30分間をディップすることによって、不純物を除去するために洗浄される。次いで、ウェハを5分間10:1BOE中にディップして、これによりウェハ上に形成され得るすべての自然酸化物を除去する。電界酸化物304は炉内で好ましくは500nmの厚さまで成長される。ソース領域306、ドレイン領域308およびチャネル領域309を次いで従来のドーピング法によって形成する。これらの領域の形成には、電界酸化物を除去するための通常のフォトレジスト工程、エッチング工程および剥離工程、続いて好ましくはリン拡散工程が含まれる。好ましくは、チャネル領域309のドーピングは2×1015〜1017原子/cm3の範囲であり、最も好ましくは1016〜1017原子/cm3の範囲である。このチャネル領域309のドーピングによって、約1オーム〜5オームの抵抗率が提供される。好ましくは、ソース領域306およびドレイン領域308のドーピングは、1019〜1020原子/cm3の範囲である。
【0080】
非導電性拡散バリア層310がSi34を含む場合、従来のCVD技術を用いて、この拡散バリア層310は、アルゴンプラズマ中でシランとアンモニアとを反応させることによって、またはシランを窒素放電中で反応させることによって形成され得る。好ましくは、拡散バリア層310は、IrO2、ZrO2、CeO2およびY23を含む群から選択される化合物を含む。好ましくは、拡散バリア層310はMOD技術を用いて形成され、この場合、金属有機前駆体はLSMCDによって堆積され、所望の金属酸化物化合物を形成するために処理される。液体前駆体の組成および堆積速度が別の方法に比べてより容易に制御され、それによりバリア層化合物の極めて薄い均一な膜を形成することができることから、ミスト堆積法が好ましい。ミスト堆積プロセスは、1995年10月10日にMcMillanらに発行された米国特許第5,456,945号に記載されている。スピンオン法のような液体を基板に付与する他の方法もまた用いることができる。
【0081】
工程612の好適な実施形態において、キシレン溶媒中のセリウム2−エトキシヘキサノエートの0.2モル濃度前駆体溶液は、使用直前に0.1モル濃度まで希釈される。工程614において、ミスト堆積反応器中に基板を配置し、液体前駆体のミストを形成し、そのミストが基板上に堆積される堆積反応器内へそのミストをフローすることによって、前駆体の液体コーティングを基板上に形成する。工程616において、基板および液体コーティングは、固体コーティングを形成するために処理される。処理は、真空に曝すこと、紫外線照射に曝すこと、乾燥、加熱、ベーキング、高速熱処理およびアニーリングを含む群から選択されるプロセスを含み得る。工程616の処理は、典型的には、乾燥、高速熱処理(「RTP」)およびアニーリングを含む。乾燥は、典型的には、160℃で1分間、次いで260℃で4分間行われる。RTPが使用される場合、このRTPは、典型的には、100℃/秒のランプ速度で30秒間725℃で行われる。好ましくは、酸素雰囲気中での炉アニールによって金属酸化物化合物が結晶化する。アニーリングは、酸素流量5l/mで、10分間の「炉へ入れる時間」および10分間の「炉から取り出す時間」を含む60分間、800℃で行われる。非導電性拡散バリア層310は約5nmの厚さを有する。
【0082】
工程618において、ゲート絶縁体311用の最終前駆体を調製する。上述のように、初期前駆体は、好ましくは、キシレンまたはn−オクタン溶媒中に金属2−エトキシヘキサノエートを含む。例として、化学量論式SrTa26に相当する相対モル比のストロンチウム2−エトキシヘキサノエートおよびタンタル2−エトキシヘキサノエートをn−オクタン溶媒中で混合する。0.2モル濃度溶液の混合物をn−ブチルアセテートを用いて0.1モル濃度まで希釈する。
【0083】
工程620において、拡散バリア層310を含む基板を液体堆積反応器に配置し、ゲート絶縁体用の最終前駆体からミストを作製する。そのミストを堆積反応器内へフローする。この堆積反応器で、拡散バリア層上に前駆体の液体コーティングを形成する。
【0084】
工程622において、液体コーティングを有する基板を処理して、本発明の材料のうち任意の材料であり得る薄膜ゲート絶縁体311を形成する。処理は、真空に曝すこと、紫外線照射に曝すこと、乾燥、加熱、ベーキング、高速熱処理およびアニーリングを含む群から選択されるプロセスを含み得る。好適な実施形態において、前駆体を乾燥し、酸素アニーリングをすることによって処理する。乾燥は、30秒〜10分の時間、100℃〜350℃の温度で好ましくはホットプレート上で行われる。好ましくは、乾燥は、複数の乾燥工程によって行われ、この複数の乾燥工程は、各工程が前の工程よりも高温で行われる。以降のサンプルでは、乾燥は2つの工程によって行われた。1つの工程は、160℃で1分間、もう1つの工程は260℃で4分間あった。ベーキングを用いる場合、ベーキングは、RTPベーキングまたは炉ベーキングを含み得るが、好ましい実施形態では、ベーキングはホットプレートベーキングである。RTPベーキングを用いる場合、ハロゲン光源を用いて、500℃〜850℃の範囲の高温まで急激にウェハを上昇させ、15秒〜3分間の時間、ベーキングする。アニーリングは、30分〜3時間、500℃〜850℃の温度で行われる。上記の実施例では、アニーリングは800℃で30分間行われた。ゲート絶縁体311は、約15nmの厚さを有する。
【0085】
工程624において、従来の技術、典型的にはスパッタリング技術を用いてゲート電極312を堆積する。典型的には、工程626の従来の技術の1つのシーケンスにおいて、拡散バリア310、ゲート絶縁体311およびゲート電極312をパターニングし、エッチングする。次いで、工程628、630および632のそれぞれで、ILD316、バイア317、318およびタングステンプラグ319、320を従来の技術を用いて形成する。
【0086】
次に、拡散バリア層321を形成する。拡散バリア層321は、従来のスパッタリング技術を用いて形成され得る。例えば、拡散バリア層321がTi34または別の窒化物を含む場合、薄膜は、窒化物ターゲットまたは金属ターゲットを窒素雰囲気中でスパッタリングすることによって、堆積され得る。しかしながら、好ましい方法では、導電性酸化物がMOD前駆体を用いて形成される。極めて薄い膜厚、好ましくは1nm〜20nmの範囲が望ましいので、MOD前駆体およびLSMCD技術を用いて、IrO2を含む拡散バリア層321を形成することが好ましい。工程634において、イリジウム2−エトキシヘキサノエートの0.1モル濃度溶液を含む前駆体が調製される。工程636において、その前駆体をミスト化し、ミスト堆積反応器内にフローされる。ミスト堆積反応器において、そのミストが基板上に液体コーティングを形成する。工程638において、工程616の拡散バリア層310を参照して上述したようにこの液体コーティングを処理し、厚さ5nmを有するIrO2薄膜を含む拡散バリア層321を形成する。工程640において、200nmの厚さを有するプラチナ下部電極322を従来技術を用いてスパッタリング堆積する。
【0087】
工程642において、上記の工程618と同様にキャパシタ絶縁体324の前駆体を調製する。工程644において、前駆体のミストが堆積されて、プラチナ下部電極層上に液体コーティングを形成する。工程646において、工程622と同様に液体コーティングを処理し、本発明による材料のうち任意の材料(例えば、80nmの厚さを有するSrTa26薄膜)であり得るキャパシタ絶縁体層を形成する。工程648において、プラチナ上部電極層326は、従来の技術を用いて形成される。層321、322、324および326は、好ましくは、工程650において一緒にパターニングされエッチングされて、それによりメモリキャパシタ328のスタック構造を形成する。その後、工程652において、ILD336、局所相互接続338、339および不導態層342を形成するために従来の技術を用いてメモリセル300を完成させる。
【0088】
構成元素の相対比を調節することによって、種々の電子特性が特定の用途に対して最大となり得る金属酸化物誘電体材料を用いた新規な集積回路電子デバイスについて説明してきた。特に、本発明は、(BaxSr1-x)(TayNb1-y26(0≦x≦1.0および0≦y≦1.0)、(BaxSr1-x2(TayNb1-y27(0≦x≦1.0および0≦y≦1.0)、および(BaxSr1-x2Bi2(TayNb1-y210(0≦x≦1.0および0≦y≦1.0)を形成するための前駆体から作製される金属酸化物に関する。本発明の材料の使用には、ゲート誘電体トランジスタ、DRAMおよびMMICのキャパシタ誘電体、バッファ層、拡散バリアおよびILD層が含まれる。いくつかの例では、この材料は強誘電体であり得、従ってFERAMに用いられる。図面に示され、本明細書中内で記載される特定の実施形態は、例示目的であり、上掲の特許請求の範囲に記載される本発明を制限するように解釈されるべきでないことを理解されたい。さらに、当業者には、本発明の概念から逸脱することなく、記載される特定の実施形態の多くの使用例および改変例を為し得ることは明らかである。例えば、集積回路に本発明の金属酸化物材料を用いる利点を開示したが、この材料は、有利なことには、記載される以外にも集積回路用途の絶縁体として用いられ得る。金属酸化物デバイスを形成するために、上述の例示的なプロセス以外に他のプロセスを用いてもよい。種々の変形例を用いてもよいことが上記から明らかである。さらに、前駆体、プロセスおよび構造を従来のプロセスと組み合わせて、記載されるプロセスおよびデバイスについて変形例を提供することができる。いくつかの例において記載されるプロセス工程を異なる順番で行ってもよいし、または等価な構造およびプロセスを記載される種々の構造およびプロセスと置換してもよいことは明らかである。
【図面の簡単な説明】
【図1】 図1は、本発明による集積回路キャパシタの断面図である。
【図2】 図2は、図1の集積回路キャパシタが組み込まれ得るDRAMセルの断面図である。
【図3】 図3は、金属酸化物薄膜が、金属酸化物層およびビスマス酸化物層を交互に含む仮想スタック構造を含む集積回路デバイスを示す。
【図4】 図4は、金属酸化物薄膜が、金属酸化物層およびビスマス酸化物層を交互に含む実スタック構造を含む集積回路デバイスを示す。
【図5】 図5は、本発明による金属酸化物薄膜を含むキャパシタを形成するためのプロセスの好適な実施形態を示すフローチャートである。
【図6】 図6は、実施例4のプロセスによって作製された本発明によるSrTa26キャパシタについて測定されたキャパシタンス(単位F/μm2)対印加電圧のグラフである。
【図7】 図7は、図6のキャパシタについて測定されたリーク電流対印加電圧のグラフである。
【図8】 図8は、実施例4のプロセスによって作製された異なる厚さのSrTa26キャパシタにおける、印加電界(kV/cm)に対してプロットされた分極値(μC/cm2)のグラフである。
【図9】 図9は、実施例4のプロセスによって作製された2000Å(200nm)の厚さのSrTa26薄膜において測定された比誘電率εrと周波数のグラフである。
【図10】 図10は、実施例4のプロセスによって作製された2000Å(200nm)の厚さのSrTa26薄膜において10kHzで測定された比誘電率εr対温度のグラフである。
【図11】 図11は、実施例4のプロセスによって作製された本発明によるSrTa26キャパシタについての比誘電率εr対厚さ(オングストローム単位)のグラフである。
【図12】 図12は、本発明による非導電性拡散バリア層を備えたFETにおける高誘電率ゲート絶縁体と、電極と導電性拡散バリア層との間に高誘電率絶縁体を有するメモリキャパシタとの両方を含むDRAMメモリセルの断面図であり、導電性拡散バリア層は、下部電極と半導体基板との間にある。
【図13】 図13は、本発明による強誘電体FETの好適な実施形態の断面図であり、FETと関連した種々の電気コンタクトを示す。
【図14】 図14は、本発明によるMFMISFETの断面図である。
【図15】 図15は、FETのMIS部がFETのMFM部よりも大きな面積を有する、本発明によるFETの断面図である。
【図16】 図16は、本発明によるFETが取り得る種々の多くの形態のうちの1つを示す、本発明による別のFETの断面図である。
【図17】 図17は、図12のDRAMメモリセルのような本発明による材料から作製されたゲート絶縁体を含むDRAMメモリセルを形成するための本発明による方法の好適な実施形態を示すフローチャートである。

Claims (9)

  1. 非強誘電体高誘電率絶縁体(72、74、89、92、93、250、252、310、311、316、324、336)を含む集積回路(80、301、240)であって、
    該絶縁体は、中間層酸化物(116、120、151、156)と、式ABOを有するペロブスカイロト型酸化物、タングステンブロンズ型酸化物、及びパイロクロア型酸化物とからなる群から選択される金属酸化物(114、118、122、150、154、158)との組み合わせからなる薄膜を含み、
    前記式AB、式A、及び式ABOにおいて、AはAサイト原子、BはBサイト原子、Oは酸素原子を表し、
    前記中間層酸化物は、Bi、Sc、Y、La、Sb、Cr及びTlからなる群から選択される金属を含むことを特徴とする、集積回路。
  2. 前記高誘電率絶縁体は、前記中間層酸化物と、前記ペロブスカイロト型酸化物または前記パイロクロア型酸化物からなる金属酸化物との組み合わせよりなる薄膜からなり、該中間層酸化物はBiである、請求項1に記載の集積回路。
  3. 前記金属酸化物の薄膜は、2以上の固溶体からなる、請求項1に記載の集積回路。
  4. 前記高誘電率絶縁体は、前記中間層酸化物と、前記ペロブスカイロト型酸化物または前記パイロクロア型酸化物からなる金属酸化物との組み合わせよりなる薄膜からなり、該絶縁体は、該金属酸化物の層と該中間層酸化物の層との間に、少なくとも3つの界面を含む、請求項1に記載の集積回路。
  5. 前記Aサイト原子は、Ba、Bi、Sr、Pb、Ca、K、NaおよびLaからなる金属群から選択される原子からなり、
    前記Bサイト原子は、Ti、Zr、Ta、Hf、Mo、WおよびNbからなる金属群から選択される原子からなる、請求項1に記載の集積回路。
  6. 前記集積回路は、複数の材料層をさらに含み、
    該材料層の間に形成されたバッファ層は、タングステンブロンズ型酸化物からなる、請求項1に記載の集積回路。
  7. 前記集積回路は、前記非強誘電体高誘電率絶縁体を含むトランジスタからなる、請求項1に記載の集積回路。
  8. 請求項1に記載された高誘電率絶縁体を含む集積回路の形成方法であって、
    該方法は、
    基板を提供する工程と、
    該基板に、前記金属酸化物を形成するための液体前駆体をコーティングする工程と、
    該コーティング膜を乾燥させて、該金属酸化物の層を形成する工程と、
    該金属酸化物層上に、前記中間層酸化物の液状前駆体をコーティングする工程と、
    該コーティング膜を乾燥させて、該中間層酸化物の層を形成する工程と
    を包含する、集積回路の形成方法。
  9. 請求項1に記載された高誘電率絶縁体を含む集積回路の形成方法であって、
    該方法は、
    基板を提供する工程と、
    該基板に、前記金属酸化物を形成するための前駆体と、前記中間層酸化物の前駆体とを含む液状前駆体をコーティングする工程と、
    該コーティング膜を乾燥させて、該金属酸化物の層と該中間層酸化物の層とが交互に堆積した該高誘電率絶縁体を形成する工程と
    を包含する、集積回路の形成方法。
JP2001503214A 1999-06-10 2000-06-09 集積回路及び集積回路の形成方法 Expired - Fee Related JP3996767B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US09/329,670 US6339238B1 (en) 1998-10-13 1999-06-10 Ferroelectric field effect transistor, memory utilizing same, and method of operating same
US09/329,670 1999-06-10
US09/365,628 1999-08-02
US09/365,628 US6495878B1 (en) 1999-08-02 1999-08-02 Interlayer oxide containing thin films for high dielectric constant application
PCT/US2000/015956 WO2000077832A2 (en) 1999-06-10 2000-06-09 Metal oxide thin films for high dielectric constant applications

Publications (2)

Publication Number Publication Date
JP2003502837A JP2003502837A (ja) 2003-01-21
JP3996767B2 true JP3996767B2 (ja) 2007-10-24

Family

ID=26986909

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001503214A Expired - Fee Related JP3996767B2 (ja) 1999-06-10 2000-06-09 集積回路及び集積回路の形成方法

Country Status (5)

Country Link
EP (1) EP1192648A2 (ja)
JP (1) JP3996767B2 (ja)
KR (1) KR20020015048A (ja)
CN (1) CN1358326A (ja)
WO (1) WO2000077832A2 (ja)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030152813A1 (en) * 1992-10-23 2003-08-14 Symetrix Corporation Lanthanide series layered superlattice materials for integrated circuit appalications
EP1405836B1 (en) * 2001-06-15 2011-03-16 TDK Corporation Piezoelectric porcelain and method for preparation thereof
US6673664B2 (en) * 2001-10-16 2004-01-06 Sharp Laboratories Of America, Inc. Method of making a self-aligned ferroelectric memory transistor
JP4840794B2 (ja) * 2002-08-30 2011-12-21 国立大学法人東京工業大学 電子デバイスの製造方法
US7118726B2 (en) 2002-12-13 2006-10-10 Clark Manufacturing, Llc Method for making oxide compounds
US6911361B2 (en) * 2003-03-10 2005-06-28 Sharp Laboratories Of America, Inc. Low temperature processing of PCMO thin film on Ir substrate for RRAM application
US6774054B1 (en) * 2003-08-13 2004-08-10 Sharp Laboratories Of America, Inc. High temperature annealing of spin coated Pr1-xCaxMnO3 thim film for RRAM application
DE102005018029A1 (de) 2005-04-14 2006-10-26 Infineon Technologies Ag Verfahren zum Herstellen eines elektrischen Bauelements
US8361811B2 (en) 2006-06-28 2013-01-29 Research In Motion Rf, Inc. Electronic component with reactive barrier and hermetic passivation layer
JP5248025B2 (ja) * 2007-03-01 2013-07-31 東京エレクトロン株式会社 SrTiO3膜の成膜方法およびコンピュータ読取可能な記憶媒体
KR100915920B1 (ko) 2008-01-24 2009-09-07 한국세라믹기술원 파이로클로어 결정 구조의 저열전도성 세라믹 소재 및 그제조방법
EP2425467B1 (en) 2009-04-27 2013-06-19 Canon Kabushiki Kaisha Tungsten bronze-type piezoelectric material and production method therefor
JP2012151453A (ja) * 2010-12-28 2012-08-09 Semiconductor Energy Lab Co Ltd 半導体装置および半導体装置の駆動方法
JP6218116B2 (ja) * 2013-03-26 2017-10-25 Toto株式会社 複合金属酸化物粒子およびその製造方法
US9755293B2 (en) * 2013-12-05 2017-09-05 Skyworks Solutions, Inc. Enhanced Q high dielectric constant material for microwave applications
CN105448987B (zh) * 2014-08-21 2018-07-03 中芯国际集成电路制造(上海)有限公司 半导体器件及其制作方法
JP6297754B2 (ja) * 2014-12-09 2018-03-20 シメトリックス・メモリー・エルエルシー ドープされたバッファ領域を有する遷移金属酸化物抵抗スイッチングデバイス
JP6581446B2 (ja) * 2015-09-09 2019-09-25 東芝メモリ株式会社 絶縁膜及び記憶装置
US9876018B2 (en) 2015-12-03 2018-01-23 Micron Technology, Inc. Ferroelectric capacitor, ferroelectric field effect transistor, and method used in forming an electronic component comprising conductive material and ferroelectric material
US10084057B2 (en) * 2016-08-16 2018-09-25 Globalfoundries Inc. NVM device in SOI technology and method of fabricating an according device
CN106478090B (zh) * 2016-09-30 2019-11-08 西安交通大学 钛酸铋-铌酸钙固溶体高频电介质陶瓷的制备方法
KR102650214B1 (ko) * 2018-09-19 2024-03-21 삼성전자주식회사 집적회로 소자 및 그 제조 방법
JP7226747B2 (ja) * 2019-06-05 2023-02-21 国立研究開発法人物質・材料研究機構 誘電体膜およびそれを用いたキャパシタならびに誘電体膜の製造方法
CN110668818B (zh) * 2019-10-31 2021-01-19 西安交通大学 一种超低温烧结的复合微波介质陶瓷材料及其制备方法
JP2022111642A (ja) * 2021-01-20 2022-08-01 Tdk株式会社 誘電体組成物および電子部品
CN114956814B (zh) * 2022-05-16 2023-08-18 昆明理工大学 一种抗烧结强抗cmas腐蚀高熵钽/铌陶瓷及其制备方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS63141205A (ja) * 1986-12-04 1988-06-13 太陽誘電株式会社 誘電体磁器
US5456945A (en) * 1988-12-27 1995-10-10 Symetrix Corporation Method and apparatus for material deposition
US5519234A (en) * 1991-02-25 1996-05-21 Symetrix Corporation Ferroelectric dielectric memory cell can switch at least giga cycles and has low fatigue - has high dielectric constant and low leakage current
KR930003790B1 (ko) * 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5833745A (en) * 1995-11-15 1998-11-10 Mitsubishi Materials Corporation Bi-based ferroelectric composition and thin film, method for forming the thin film, and non-volatile memory
TW346676B (en) * 1996-05-14 1998-12-01 Matsushita Electron Co Ltd Method of manufacturing layered ferroelectric Bi containing film
JP3108039B2 (ja) * 1997-01-18 2000-11-13 東京応化工業株式会社 Bi系強誘電体薄膜形成用塗布液およびこれを用いて形成した強誘電体薄膜、強誘電体メモリ
JP4080050B2 (ja) * 1997-03-07 2008-04-23 シャープ株式会社 強誘電体メモリセル、半導体構造およびそれらの製造方法
JP3190011B2 (ja) * 1997-05-23 2001-07-16 ローム株式会社 強誘電体記憶素子およびその製造方法
US6140672A (en) * 1999-03-05 2000-10-31 Symetrix Corporation Ferroelectric field effect transistor having a gate electrode being electrically connected to the bottom electrode of a ferroelectric capacitor

Also Published As

Publication number Publication date
CN1358326A (zh) 2002-07-10
KR20020015048A (ko) 2002-02-27
EP1192648A2 (en) 2002-04-03
JP2003502837A (ja) 2003-01-21
WO2000077832A3 (en) 2001-09-07
WO2000077832A2 (en) 2000-12-21

Similar Documents

Publication Publication Date Title
JP3996767B2 (ja) 集積回路及び集積回路の形成方法
US6541279B2 (en) Method for forming an integrated circuit
JP3939250B2 (ja) 強誘電性複合材料、その製造方法、およびそれを用いたメモリ
KR100417743B1 (ko) 90 나노미터 이하의 두께를 갖는 강유전성 박막을 지닌강유전성 메모리와 그 제조 방법
EP1018151B1 (en) Process for fabricating layered superlattice materials and making electronic devices including same without exposure to oxygen
US20040211998A1 (en) Lanthanide series layered superlattice materials for integrated circuit applications
JP2002543627A (ja) 傾斜機能を有する不揮発性メモリアプリケーションのための強誘電体電界効果トランジスタおよびそれを作成する方法
JP3803583B2 (ja) 超格子材料を製造する高速ランプアニール法
EP0665981B1 (en) Process for fabricating layered superlattice materials and electronic devices including same
US7001778B2 (en) Method of making layered superlattice material with improved microstructure
JP2003510839A (ja) バリア層を有する集積回路およびその製造方法
KR20000062325A (ko) 집적회로전극구조 및 이것의 제조공정
US6607980B2 (en) Rapid-temperature pulsing anneal method at low temperature for fabricating layered superlattice materials and making electronic devices including same
US6245580B1 (en) Low temperature process for fabricating layered superlattice materials and making electronic devices including same
US6890768B2 (en) Method of making layered superlattice material with ultra-thin top layer
WO2001076771A2 (en) Low temperature oxidizing method of making a layered superlattice material
JP4268804B2 (ja) 超薄膜の上層を有する積層超格子材料の製造方法
CN100355042C (zh) 铁电存储元件及制造具有超薄顶层的层状超晶格材料的方法
WO2002073669A2 (en) Method of making layered superlattice material with improved microstructure

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20040630

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20051220

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20051220

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060714

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060822

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061108

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070320

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070620

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070717

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070803

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100810

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100810

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110810

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110810

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120810

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120810

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130810

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees