JP2023531029A - フリップチップスタッキング構造体およびそれを形成するための方法 - Google Patents

フリップチップスタッキング構造体およびそれを形成するための方法 Download PDF

Info

Publication number
JP2023531029A
JP2023531029A JP2022578910A JP2022578910A JP2023531029A JP 2023531029 A JP2023531029 A JP 2023531029A JP 2022578910 A JP2022578910 A JP 2022578910A JP 2022578910 A JP2022578910 A JP 2022578910A JP 2023531029 A JP2023531029 A JP 2023531029A
Authority
JP
Japan
Prior art keywords
layer
stepped
staircase
chip
top surface
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2022578910A
Other languages
English (en)
Inventor
シンル・ゼン
ペン・チェン
メン・ワン
バオフア・ジャン
ウード・ジョウ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Yangtze Memory Technologies Co Ltd
Original Assignee
Yangtze Memory Technologies Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Yangtze Memory Technologies Co Ltd filed Critical Yangtze Memory Technologies Co Ltd
Publication of JP2023531029A publication Critical patent/JP2023531029A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/18Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different subgroups of the same main group of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/13Mountings, e.g. non-detachable insulating substrates characterised by the shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4853Connection or disconnection of other leads to or from a metallisation, e.g. pins, wires, bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L24/14Structure, shape, material or disposition of the bump connectors prior to the connecting process of a plurality of bump connectors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B80/00Assemblies of multiple devices comprising at least one memory device covered by this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K50/00Organic light-emitting devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0231Manufacturing methods of the redistribution layers
    • H01L2224/02321Reworking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02331Multilayer structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0233Structure of the redistribution layers
    • H01L2224/02333Structure of the redistribution layers being a bump
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02373Layout of the redistribution layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02379Fan-out arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/023Redistribution layers [RDL] for bonding areas
    • H01L2224/0237Disposition of the redistribution layers
    • H01L2224/02381Side view
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/11Manufacturing methods
    • H01L2224/111Manufacture and pre-treatment of the bump connector preform
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13008Bump connector integrally formed with a redistribution layer on the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32135Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/32145Disposition the layer connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06562Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking at least one device in the stack being rotated or offset
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06575Auxiliary carrier between devices, the carrier having no electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06582Housing for the assembly, e.g. chip scale package [CSP]
    • H01L2225/06586Housing with external bump or bump-like connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • H01L23/49816Spherical bumps on the substrate for external connection, e.g. ball grid arrays [BGA]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5383Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5385Assembly of a plurality of insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/181Encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Ceramic Engineering (AREA)
  • Optics & Photonics (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本開示は、半導体パッケージを含み、半導体パッケージは、入力/出力(I/O)コンタクトに接触している第1の表面と、第1の表面とは反対側の第2の表面とを有する再分配層(RDL)を含む。また、半導体パッケージは、階段相互接続構造体を含み、階段相互接続構造体は、RDLの第2の表面の上に形成されており、RDLと電気的に接続されている。階段相互接続構造体は、階段層を含み、階段層は、第1の階段層と、第1の階段層の上部表面の上にスタックされた第2の階段層とを含む。第2の階段層は、第1の階段層の上部表面の一部分をカバーしており、第1の階段層の上部表面の残りの部分が露出されるようになっている。集積回路(IC)チップは、階段相互接続構造体を介してRDLに電気的に接続されている。ICチップのうちの第1のICチップは、第1の階段層の上部表面の残りの部分を通して、RDLに電気的に接続されている。

Description

本開示は、一般的に、半導体技術の分野に関し、より具体的には、マルチチップパッケージングのための方法に関する。
チップパッケージングは、現代の半導体小型化の重要な一面である。複数の集積回路(IC)が、単一のパッケージの中へパッケージングされ、同種のまたは異種のチップ集積を達成する。たとえば、メモリチップおよび制御ロジックが、単一のパッケージの中へ集積され、より低い製作コスト、デバイスフットプリントの低減、および、デバイス性能の改善を実現することが可能である。平面的なメモリセルの中の密度限界に対処するために、3次元(3D)メモリアーキテクチャーが開発されている。しかし、デバイス特徴サイズおよびパッケージサイズが下限に接近するにつれて、特に、ワードラインおよびビットラインのアレイを通してメモリビットをアドレス指定する平面的なメモリチップまたは3Dメモリチップに関して、十分な数の入力/出力(I/O)コンタクトを生成させることは、ますます困難になる。
本開示は、半導体パッケージを含み、半導体パッケージは、入力/出力(I/O)コンタクトに接触している第1の表面と、第1の表面とは反対側の第2の表面とを有する再分配層(RDL)を含む。また、半導体パッケージは、階段相互接続構造体を含み、階段相互接続構造体は、RDLの第2の表面の上に形成されており、RDLと電気的に接続されている。階段相互接続構造体は、階段層を含み、階段層は、第1の階段層と、第1の階段層の上部表面の上にスタックされた第2の階段層とを含む。第2の階段層は、第1の階段層の上部表面の一部分をカバーしており、第1の階段層の上部表面の残りの部分が露出されるようになっている。集積回路(IC)チップは、階段相互接続構造体を介してRDLに電気的に接続されている。ICチップのうちの第1のICチップは、第1の階段層の上部表面の残りの部分を通して、RDLに電気的に接続されている。
また、本開示は、半導体パッケージ構造体を形成するための方法を含む。方法は、キャリア基板を提供するステップと、キャリア基板の上に階段相互接続構造体を形成するステップとを含む。階段相互接続構造体を形成するステップは、第1の階段層を形成するステップと、第1の階段層の上部表面の上に第2の階段層を形成するステップとを含む。第2の階段層は、第1の階段層の上部表面の一部分をカバーしており、第1の階段層の上部表面の残りの部分が露出されるようになっている。また、方法は、キャリア基板の上におよび階段相互接続構造体の上に集積回路(IC)チップをフリップマウントするステップを含む。ICチップをフリップマウントするステップは、第1の階段層の上部表面の残りの部分を通して、ICチップのうちの第1のICチップを第1の階段層に電気的に接続するステップを含む。また、方法は、キャリア基板を再分配層(RDL)と交換するステップを含む。方法は、第1の階段層の上部表面の残りの部分を通して、第1のICチップをRDLに電気的に接続することによって、階段相互接続構造体を通してICチップをRDLに電気的に接続するステップをさらに含む。
本開示の態様は、添付の図とともに読まれるときに、以下の詳細な説明から最良に理解される。当業界における一般的な実務にしたがって、さまざまな特徴は、正しい縮尺で描かれていないということが留意される。実際に、さまざまな特徴の寸法は、図示および議論の明確化のために、任意に増加または低減され得る。
本開示のいくつかの実施形態による、スタックされたフリップチップパッケージを形成するための例示的な製作プロセスを示す図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの一方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの両方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、スタックされたチップの両方の端部に形成された階段相互接続構造体を組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、階段相互接続構造体間に形成されたコンタクトパッドを組み込んだスタックされたフリップチップパッケージの断面図である。 本開示のいくつかの実施形態による、階段相互接続構造体間に形成されたコンタクトパッドを組み込んだスタックされたフリップチップパッケージの断面図である。
ここで、例示目的の実施形態が、添付の図面を参照して説明されることとなる。図面において、同様の参照番号は、一般的に、同一の要素、機能的に同様の要素、および/または、構造的に同様の要素を示す。
特定の構成および配置が議論されているが、これは、単に例示目的のためだけに行われているということが理解されるべきである。本開示の要旨および範囲から逸脱することなく、他の構成および配置が使用され得るということを、当業者は認識することとなる。本開示は、さまざまな他の用途においても用いられ得るということが、当業者に明らかであることとなる。
本明細書における「1つの実施形態」、「ある実施形態」、「ある例示的な実施形態」、「いくつかの実施形態」などに対する言及は、記載されている実施形態が、特定の特徴、構造体、または特質を含むことが可能であるが、すべての実施形態が、必ずしも、その特定の特徴、構造体、または特質を含むとは限らない可能性があるということを示しているということが留意される。そのうえ、そのような語句は、必ずしも、同じ実施形態を指しているとは限らない。さらに、特定の特徴、構造体、または特質が、実施形態に関連して記載されているときには、明示的に記載されているかどうかにかかわらず、他の実施形態に関連して、そのような特徴、構造体、または特質に影響を与えることは、当業者の知識の範囲内であることとなる。
一般的に、専門用語は、文脈における使用法から少なくとも部分的に理解され得る。たとえば、本明細書で使用されているような「1つまたは複数の」という用語は、少なくとも部分的に文脈に応じて、単数形の意味で、任意の特徴、構造体、または特質を説明するために使用され得るか、または、複数形の意味で、特徴、構造体、または特質の組み合わせを説明するために使用され得る。同様に、「a」、「an」、または「the」などのような用語は、繰り返しになるが、少なくとも部分的に文脈に応じて、単数形の使用法を伝えるということ、または、複数形の使用法を伝えるということを理解され得る。
本開示における「の上に」、「の上方に(above)」、および「の上方に(over)」の意味は、最も広い様式で解釈されるべきであり、「の上に」は、何か「の上に直接的に」を意味するだけではなく、中間特徴または層がそれらの間にある状態で、何か「の上に」を意味することも含むようになっており、「の上方に(above)」または「の上方に(over)」は、何か「の上方に(above)」または「の上方に(over)」を意味するだけでなく、中間特徴または層がそれらの間にない状態で、それが何か「の上方に(above)」または「の上方に(over)」(すなわち、何かの上に直接的に)あることを意味することも含むことが可能であるということが容易に理解されるべきである。
さらに、「の下に」、「の下方に」、「下側」、「底部」、「の上方に(above)」、「の上方に(over)」、「上側」、および「上部」などのような、空間的に相対的な用語は、説明を容易にするために、図に示されているような別の要素または特徴に対する1つの要素または特徴の関係を説明するために本明細書で使用され得る。たとえば、上部表面および底部表面は、要素の対向する側部に形成された第1および第2の主要な表面をそれぞれ指すことが可能である。空間的に相対的な用語は、図に示されている配向に加えて、使用中または動作中のデバイスの異なる配向を包含することを意図している。装置は、その他の方法で配向され得(90度回転させられるか、または、他の配向で)、本明細書で使用されている空間的に相対的な記述子は、同様にそのように解釈され得る。
本明細書で使用されているように、「基板」という用語は、後続の材料層がその上に追加される材料を指す。基板は、上部表面および底部表面を含む。基板の上部表面は、半導体デバイスが形成されている場所であり、したがって、半導体デバイスは、基板の上部側に形成されている。底部表面は、上部表面の反対側にあり、したがって、基板の底部側は、基板の上部側の反対側にある。基板自身が、パターニングされ得る。基板の上に追加された材料は、パターニングされ得、または、パターニングされないままであることが可能である。そのうえ、基板は、シリコン、ゲルマニウム、ガリウムヒ素、リン化インジウムなどのような、多様な半導体材料を含むことが可能である。あるいは、基板は、ガラス、プラスチック、またはサファイヤウェハなどのような、非導電性材料から作製され得る。
本明細書で使用されているように、「層」という用語は、所定の厚さを有する領域を含む材料部分を指す。層は、下にあるもしくは上にある構造体の全体にわたって延在することが可能であり、または、下にあるもしくは上にある構造体の延在よりも小さい延在を有することが可能である。さらに、層は、連続的な構造体の厚さよりも小さい厚さを有する均質なまたは不均質な連続的な構造体の領域であることが可能である。たとえば、層は、連続的な構造体の上部表面と底部表面との間において(または、上部表面および底部表面において)、水平方向の平面の任意のペアの間に位置付けされ得る。層は、水平方向に、垂直方向に、および/または、テーパー付きの表面に沿って延在することが可能である。基板は、層であることが可能であり、その中に1つまたは複数の層を含むことが可能であり、ならびに/または、その上に、その上方に、および/もしくはその下方に、1つまたは複数の層を有することが可能である。層は、複数の層を含むことが可能である。たとえば、相互接続層は、1つまたは複数の導体および接触層(コンタクト、相互接続ライン、および/またはビア接触部が、その中に形成されている)ならびに1つまたは複数の誘電体層を含むことが可能である。
本明細書で使用されているように、「公称の/公称的に」という用語は、所望の値の上方および/または下方の値の範囲とともに、製品またはプロセスの設計フェーズの間に設定される、コンポーネントまたはプロセス動作に関する特質またはパラメーターの所望の(または、ターゲット)値を指す。値の範囲は、製造プロセスまたは公差におけるわずかな変動に起因する可能性がある。本明細書で使用されているように、「約」という用語は、対象の半導体デバイスに関連付けられる特定のテクノロジーノードに基づいて変化し得る所与の量の値を示している。特定のテクノロジーノードに基づいて、「約」という用語は、たとえば、値の10~30%(たとえば、値の±10%、±20%、または±30%)以内で変化する所与の量の値を示すことが可能である。
本明細書で使用されているように、「3D NANDメモリデバイス」(本明細書では、「メモリデバイス」と称される)という用語は、半導体デバイスを指し、その半導体デバイスは、横方向に配向された基板の上に、3D NANDメモリセルトランジスターの垂直方向に配向されたストリング(本明細書では、「メモリストリング」、たとえば、NANDストリングまたは3D NANDストリングなどと称される)を備えており、メモリストリングが基板に対して垂直方向に延在するようになっている。本明細書で使用されているように、「垂直方向の/垂直方向に」という用語は、基板の横方向の表面に対して公称的に垂直であるということを意味している。
本明細書で使用されているように、「配設されている」という用語は、方法(たとえば、堆積させるか、取り付けるか、または設置するなど)によって、形成されているか、または、その他の方法で生成されているかもしくは位置付けされていることを指す。
本開示において、「水平方向の/水平方向に」という用語は、基板の横方向の表面に対して公称的に平行であることを意味している。
集積回路パッケージングは、半導体デバイス製作の最終的な段階であることが多い。複数の集積回路(たとえば、チップ)は、単一のパッケージの中へパッケージングされ、同種のまたは異種のチップ集積を達成する。パッケージングは、パッケージの中に囲まれている内容物のための保護を提供し、外部回路へのおよび外部回路からの電力および信号のためのアクセスを可能にする。Fan-Out Wafer Level Packaging (FOWLP)およびFan-Out Panel Level Packaging (FOPLP)などのようなファンアウトパッケージング技術が、より低い製作コスト、デバイスフットプリントの低減、および、デバイス性能の改善を実現するために開発されている。FOWLPプロセスでは、個々のチップが、ウェハ形状の基板の上に配設され、追加的な入力/出力(I/O)接続ポイントのために、それぞれのダイ同士の間に割り当てられたスペースを備えている。次いで、チップが、モールドコンパウンドの中に埋め込まれる。周辺におけるモールドコンパウンド領域からのチップの上のI/O接続を再ルーティングするために、再分配層(RDL)が形成される。ダイシングソーは、ウェハ形状の基板の上に形成された完了したパッケージのアレイからチップを分離し、個々の半導体パッケージを形成することが可能である。しかし、通常の大サイズのウェハは約300mmであるので、ウェハの上に製造およびパッケージングされ得る半導体デバイスの量は限られる。FOPLPプロセスは、FOWLPプロセスと比較して増加されたパッケージング容量を提供するために開発されている。FOPLPプロセスでは、チップは、ウェハ形状の基板ではなく、基板レベルパネルの上に配設されており、パッケージング容量を増加させる。たとえば、基板レベルパネルは、500mmまたは600mmの長さの辺を有する正方形形状のパネルであることが可能である。
FOWLPプロセスおよびFOPLPプロセスの両方に関して、スタックされたメモリデバイス(たとえば、3D NANDメモリチップなど)に関するI/O接続の量を支持することは、ますます困難になっている。より高いストレージ容量に対する要求が増加し続けるにつれて、メモリセルおよび階段構造体の垂直方向のレベルの数も増加する。たとえば、64レベルの3D NANDメモリデバイスは、2つの32レベルの階段構造体を含むことが可能であり、一方が他方の上に形成された状態になっている。同様に、128レベルの3D NANDメモリデバイスは、2つの64レベルの階段構造体を含むことが可能である。デバイス臨界寸法が縮小し続けるにつれて、ファンアウトパッケージングの中のメモリチップのための十分な量のI/O接続を提供することがますます困難になっている。
本開示に記載されているさまざまな実施形態は、ファンアウトパッケージングの中のメモリチップのためのスタックされた階段相互接続構造体を組み込む。スタックされた階段相互接続構造体は、スタックされた階段相互接続構造体のそれぞれのレベルに形成されたピラーバンプを通して、スタックされたICチップのそれぞれのICチップへの電気的な接続を提供することが可能であり、そして、それは、I/O接続ポイントの数を増加させる。本明細書に記載されている実施形態は、例としてファンアウトパッケージングを使用しており、他のパッケージング技術にも適用され得る。
図1は、いくつかの実施形態による、階段相互接続構造体を組み込んだマルチチップパッケージングを製作するための方法100のフロー図である。例示目的のために、図1に示されている動作は、図2~図12に示されているようなパッケージング構造体200、ならびに、図13および図14におけるパッケージング構造体1300を製作する例示的な製作プロセスを参照して説明されることとなる。動作は、特定の用途に応じて、異なる順序で実施され得、または、そのように実施されないことも可能である。方法100は、完全な半導体デバイスを作り出さない可能性があるということが留意されるべきである。したがって、追加的なプロセスが、方法100の前に、方法100の間に、および、方法100の後に提供され得るということ、ならびに、いくつかの他のプロセスは、単に簡潔に本明細書に記載されているに過ぎないということが理解される。
図1を参照すると、動作105において、いくつかの実施形態によれば、コンタクトパッドが、キャリア基板の上に形成される。たとえば、図2に示されているパッケージング構造体200を参照して説明されているように、コンタクトパッド208が、キャリア基板202の上に形成される。図示の明確化のために、図2は、パッケージング構造体200のさまざまな図を含む。たとえば、図2は、コンタクトパッド208および基板202を示す側面図210および対応する平面図220を含む。コンタクトパッド208は、パッケージング構造体200の第1のパッケージ204および第2のパッケージ206の中に配設され得る。第1および第2のパッケージ204および206は、パッケージ境界205において分離され得る。追加的なICチップが、パッケージング構造体200の上に配設され得、それは、簡単にするために図2に示されていない。いくつかの実施形態において、第1および第2のパッケージ204および206の中に含まれているICチップは、デバイス設計および所望の機能に応じて、同じまたは異なっていることが可能である。
キャリア基板202は、半導体パッケージングのための任意の適切な材料を含むことが可能である。たとえば、基板キャリアは、ガラス、窒化ガリウム、ガリウムヒ素、III-V化合物、ガラス、プラスチックシート、シリコン、シリコンゲルマニウム、炭化ケイ素、シリコンオンインシュレーター(SOI)、ゲルマニウムオンインシュレーター(GOI)、任意の他の適切な材料、および/または、それらの組み合わせを含むことが可能である。
コンタクトパッド208は、導電性材料を使用して形成され得、キャリア基板202の上部表面の上に形成され得る。コンタクトパッド208は、その後にI/O接続を形成するために使用され得る。いくつかの実施形態において、コンタクトパッド208の1つまたは複数の行が形成され得る。例として、図2の平面図200に示されているように、第1のパッケージ204は、少なくとも3行のコンタクトパッド208a、208b、および208cを含み、一方では、第2のパッケージ206は、少なくとも2行のコンタクトパッド208cおよび208dを含む。いくつかの実施形態において、コンタクトパッド208は、キャリア基板202の中に埋め込まれ得、コンタクトパッド208の上部表面、および、キャリア基板202の上部表面は、実質的に同一平面上にある。いくつかの実施形態において、コンタクトパッド208は、タングステン(W)、コバルト(Co)、銅(Cu)、アルミニウム(Al)、銀(Ag)、金(Au)、ドープトシリコン、シリサイド、窒化チタン(TiN)、窒化タンタル(TaN)、任意の適切な材料、および/または、それらの組み合わせから形成され得る。いくつかの実施形態において、コンタクトパッド208は、ブランケット堆積プロセスによって配設され得、パターニングプロセスがそれに続く。いくつかの実施形態において、コンタクトパッド208は、図2に示されているように、第1および第2のパッケージ204および206の中央に向けて延在する周辺領域から配設され得る。いくつかの実施形態において(図2には示されていない)、コンタクトパッド208は、第1および第2のパッケージ204および206の中央領域に形成され得る。いくつかの実施形態において、コンタクトパッド208は、第1のパッケージ204の周辺領域に形成され得、第2のパッケージ206の中央領域に形成され得る。いくつかの実施形態において、コンタクトパッド208は、それに限定されないが、化学蒸着(CVD)、流動性CVD(FCVD)、スパッタリング、有機金属CVD(MOCVD)、プラズマ強化CVD(PECVD)、低圧CVD(LPCVD)、物理蒸着(PVD)、高密度プラズマ(HDP)、任意の適切な堆積技法、および/または、それらの組み合わせを含む、堆積技法を使用して配設され得る。
再び図1を参照すると、動作110において、いくつかの実施形態によれば、誘電体層およびブロッキング層が、キャリア基板の上に形成される。たとえば、図3に示されているように、誘電体層310およびブロッキング層314が、パッケージング構造体200の第1のパッケージ204および第2のパッケージ206において、キャリア基板202の上に形成され得る。
誘電体層310は、キャリア基板202の上に(キャリア基板202の上部表面の上、および、コンタクトパッド208の露出された表面の上を含む)誘電材料をブランケット堆積させることによって配設され得る。パターニングプロセスが、誘電材料の残りの部分が誘電体層310を形成することができるように、ブランケット堆積された誘電材料の部分を除去するために使用され得る。いくつかの実施形態において、誘電体層310は、絶縁材料(たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、任意の適切な絶縁材料、および/または、それらの組み合わせなど)から形成され得る。いくつかの実施形態において、誘電体層310を配設することは、それに限定されないが、CVD、FCVD、スパッタリング、MOCVD、PECVD、LPCVD、PVD、HDP、任意の適切な堆積技法、および/または、それらの組み合わせを含む、任意の適切な堆積技法を含むことが可能である。
ブロッキング層314は、誘電体層310同士の間において、キャリア基板202およびコンタクトパッド208の上部表面の上に配設され得る。いくつかの実施形態において、ブロッキング層314は、誘電体層310、キャリア基板202の上部表面、ならびに、コンタクトパッド208の露出された表面(たとえば、上部表面および側壁部)の上に、誘電材料をブランケット堆積させることによって配設され得る。パターニングプロセスまたは研磨プロセスが実施され得、堆積された材料が、隣接する誘電体層310の間に残っているようになっており、ブロッキング層314および誘電体層310の上部表面が、実質的に平面的になるようになっている。たとえば、化学機械研磨(CMP)プロセスが使用され得る。いくつかの実施形態において、ブロッキング層314および誘電体層310は、異なる材料から形成されている。たとえば、ブロッキング層314および誘電体層310は、互いに対して高いエッチング選択性(たとえば、約10よりも大きい)を有する材料を使用して配設され得る。たとえば、ブロッキング層314は、酸化ケイ素を使用して形成され得、誘電体層310は、酸窒化ケイ素を使用して形成され得る。いくつかの実施形態において、ブロッキング層314は、絶縁材料(たとえば、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、任意の適切な絶縁材料、および/または、それらの組み合わせなど)から形成され得る。いくつかの実施形態において、ブロッキング層314の堆積プロセスは、それに限定されないが、CVD、FCVD、スパッタリング、MOCVD、PECVD、LPCVD、PVD、HDP、任意の適切な堆積技法、および/または、それらの組み合わせを含む、任意の適切な堆積技法を含むことが可能である。いくつかの実施形態において、ブロッキング層314は、フォトレジスト材料から形成され、スピンオンプロセスによって堆積され得る。いくつかの実施形態において、アニールプロセスが、堆積されたフォトレジスト材料に適用され、その物理的な耐久性を強化することが可能である。
再び図1を参照すると、動作115において、いくつかの実施形態によれば、第1の階段層の垂直方向相互接続部が、誘電体層の中に形成される。たとえば、図4に示されているように、垂直方向相互接続部418は、パッケージング構造体200の第1のパッケージ204および第2のパッケージ206において、誘電体層310の中に配設され得る。
垂直方向相互接続部418は、導電性ラインであることが可能であり、導電性ラインは、誘電体層310の中に開口部をエッチングすることによって、および、開口部の中に導電性材料を堆積させることによって配設される。いくつかの実施形態において、垂直方向相互接続部418は、ダマシンプロセスまたはデュアルダマシンプロセスによって配設され得る。いくつかの実施形態において、垂直方向相互接続部418は、導電性材料(たとえば、銅、コバルト、タングステン、アルミニウム、金、銀、任意の適切な導電性材料、および、それらの組み合わせなど)から形成され得る。いくつかの実施形態において、導電性材料は、開口部が充填されるまでブランケット堆積され得る。残りの導電性材料および誘電体層310の上部表面が実質的に同一平面上になるように、平坦化プロセス(たとえば、CMPプロセス)が使用され得る。
再び図1を参照すると、動作120において、いくつかの実施形態によれば、第1の階段層のための水平方向相互接続部が、誘電体層の中に形成される。たとえば、図5に示されているように、水平方向相互接続部518は、パッケージング構造体200の第1のパッケージ204および第2のパッケージ206において、誘電体層514の中に配設され得る。水平方向相互接続部418および垂直方向相互接続部518は、階段相互接続構造体の最も低い相互接続レベルを形成することが可能である。階段相互接続構造体は、複数の相互接続レベルから形成され得、ここで、それぞれの相互接続レベルは、本開示において、階段相互接続構造体の「階段層」(または、「SC層」)とも称される。たとえば、第1の階段層502は、いくつかの実施形態によれば、垂直方向相互接続部418および水平方向相互接続部518を含んで形成され得る。
誘電体層510およびブロッキング層514は、誘電体層310およびブロッキング層314の上にそれぞれ配設され得る。いくつかの実施形態において、誘電体層510は、誘電体層310のものと同様の堆積方法および材料組成を使用して配設され得る。たとえば、誘電体層310および510は、酸化ケイ素、窒化ケイ素、または酸窒化ケイ素から形成され得る。同様に、ブロッキング層514は、ブロッキング層314のものと同様の堆積方法および材料組成を使用して配設され得る。たとえば、ブロッキング層314および514は、フォトレジスト材料から形成され得る。
水平方向相互接続部518は、導電性ラインであることが可能であり、導電性ラインは、エッチング誘電体層510の中に開口部をエッチングすることによって、および、開口部の中に導電性材料を堆積させることによって配設される。いくつかの実施形態において、水平方向相互接続部518は、ダマシンプロセスまたはデュアルダマシンプロセスによって配設され得る。いくつかの実施形態において、水平方向相互接続部518および垂直方向相互接続部418は、同様の導電性材料(たとえば、銅、コバルト、タングステン、アルミニウム、金、および銀など)を使用して形成され得る。いくつかの実施形態において、水平方向への電気的な接続を提供するために、また、後続の相互接続構造体を垂直方向相互接続部418に電気的に接続するためのより大きなアライメント公差を提供するために、水平方向相互接続部518の幅は、垂直方向相互接続部418の幅よりも大きくなっていることが可能である。いくつかの実施形態において、水平方向相互接続部518、誘電体層510、およびブロッキング層514の上部表面が実質的に同一平面上になるように、平坦化プロセスが使用され得る。
再び図1を参照すると、動作125において、いくつかの実施形態によれば、第2の階段層のための垂直方向相互接続部および水平方向相互接続部が、誘電体層の中に形成される。たとえば、図6に示されているように、第2の階段層504が、第1の階段層502の上に形成される。第2の階段層504の幅は、第1の階段層502の幅よりも小さくなっており、第1の階段層502からの1つまたは複数の相互接続構造体が露出され、その後にブロッキング層610および612によってカバーされるようになっている。いくつかの実施形態において、誘電体層602および604のための材料組成および堆積方法は、誘電体層310および510のものとそれぞれ同様であることが可能である。いくつかの実施形態において、ブロッキング層610および612の材料組成および堆積方法は、ブロッキング層314および514のものとそれぞれ同様であることが可能である。垂直方向相互接続部618および水平方向相互接続部620は、誘電体層602および604の中にそれぞれ配設され得る。
再び図1を参照すると、動作130において、いくつかの実施形態によれば、追加的な階段層が形成される。図7に示されているように、追加的な階段層(たとえば、第3の階段層506および第4の階段層508など)が、その後に第2の階段層504の上に形成される。第3の階段層506は、誘電体層702および714の中にそれぞれ形成されている垂直方向相互接続部718および水平方向相互接続部720を含むことが可能である。同様に、第4の階段層508は、誘電体層732および754の中にそれぞれ形成されている垂直方向相互接続部758および水平方向相互接続部760を含むことが可能である。ブロッキング層710、712、740、および742は、ブロッキング層314および514と同様であることが可能であり、簡単にするために本明細書には詳細に記載されていない。後続の階段層のそれぞれは、下にある先行の階段層よりも小さい幅を有しており、下にある階段層からの少なくとも1つまたは複数の相互接続部が露出され、その後にブロッキング層によってカバーされるようになっている。たとえば、ブロッキング層710は、第2の階段層504の水平方向相互接続部620と接触している。同様に、第4の階段層508からのブロッキング層740は、第3の階段層504の水平方向相互接続部720と接触している。
再び図1を参照すると、動作135において、いくつかの実施形態によれば、ブロッキング層が除去される。図8に示されているように、すべての階段層からのブロッキング層が除去され、誘電体層、および、後続の階段層によってカバーされていない水平方向相互接続部を露出させる。具体的には、ブロッキング層(たとえば、ブロッキング層314、514、610、612、710、712、740、および742など)が、除去され、それぞれの階段層の端部に近接して形成された水平方向相互接続部を露出させることが可能である。いくつかの実施形態において、すべてのブロッキング層が除去されるとは限らない。露出された水平方向相互接続部および誘電体層の部分は、階段相互接続構造体の「ステップ」または「ステア」と集合的に称され得る。たとえば、ブロッキング層を除去することによって露出される第1の階段層502の部分の上部表面は、図8に示されているように、ステップ519と称され得る。したがって、隣接する階段層は、階段層の端部に形成されたステップによってオフセットされる。具体的には、1対のオフセットされた隣接する階段層は、第1の階段層と、その後に形成された第2の階段層とを含むことが可能であり、第2の階段層は、第1の階段層の上部表面の一部分(しかし、その全体ではない)をカバーする。たとえば、第1の階段層502のステップ519は露出されており、第2の階段層504の誘電体層602によってカバーされていない。いくつかの実施形態において、ブロッキング層は、適切なエッチングプロセス(たとえば、プラズマエッチングプロセスまたはウェットエッチングプロセスなど)を使用して除去され得る。いくつかの実施形態において、ブロッキング層は、フォトレジスト材料を使用して形成され、除去プロセスは、フォトレジスト剥離プロセスまたはプラズマ灰化プロセスを含むことが可能である。
再び図1を参照すると、動作140において、いくつかの実施形態によれば、反転されたチップが、第2の階段層504の中に配設される。図9に示されているように、ピラーバンプ916、936、および956が、パッケージング構造体200の中に配設され得る。ピラーバンプ916は、第2の階段層504と同じ水平方向のレベルに配設され得る。そうであるので、ピラーバンプ916は、第1の階段層502の上方にあり、第1の階段層502の水平方向相互接続部518に電気的に連結されている。ピラーバンプ916は、ピラーベース918およびピラー本体部920を含むことが可能である。いくつかの実施形態において、ピラーベース918およびピラー本体部920は、同様の導電性材料を使用して形成され得る。たとえば、ピラーベース918およびピラー本体部920は、銅を使用して形成され得る。いくつかの実施形態において、ピラーベース918およびピラー本体部920は、電気めっき、無電解めっき、スパッタリング、PVD、任意の適切な堆積プロセス、および、それらの組み合わせを使用して形成され得る。いくつかの実施形態において、ピラーベース918およびピラー本体部920は、異なる導電性材料を使用して形成され得る。いくつかの実施形態において、ピラーベース918およびピラー本体部920は、任意の適切な導電性材料(たとえば、タングステン、コバルト、銀、金、および、それらの組み合わせなど)を使用して形成され得る。ピラーバンプ936は、コンタクトパッド208と接触しているピラーベース938と、ピラーベース938の上に形成されたピラー本体部940とを含むことが可能である。いくつかの実施形態において、ピラーベース938およびピラー本体部940は、ピラーベース918およびピラー本体部920のものと同様の材料および堆積プロセスを使用して形成され得、それは、簡単にするために本明細書には詳細に記載されていない。ピラーバンプ936は、第2の階段層504と同じ水平方向のレベルに配設されている反転されたチップに、電気的な接続を提供するために使用され得る。いくつかの実施形態において、第2の階段層504は、コンタクトパッド208に直接的に接続されている最も低いチップ設置レベルであることが可能である。ピラーバンプ956は、コンタクトパッド208と接触しているピラーベース958と、ピラーベース958の上に形成されたピラー本体部960とを含むことが可能である。いくつかの実施形態において、ピラーベース958およびピラー本体部960は、ピラーベース918およびピラー本体部920のものと同様の材料および堆積プロセスを使用して形成され得、それは、簡単にするために本明細書には詳細に記載されていない。いくつかの実施形態において、単一のピラー本体部960は、2つ以上のピラーベース958に物理的におよび電気的に接続され得る。ピラー本体部960は、チップの端子に接続され得、それは、パッケージング構造体200の中に配設されているが、図9には示されていない。
チップ946は、ピラーバンプ936を介してコンタクトパッド208の上にフリップマウントされ得る。いくつかの実施形態において、チップ946は、第2の階段層504と同じレベルにある水平方向のレベルに装着されている。チップ946は、キャリア950に取り付けられている集積回路948を含むことが可能である。チップ946は、反転されてピラーバンプ936の上に装着され得、集積回路948からの端子(図9には示されていない)が、ピラー本体部940の上部表面と接触した状態になることができるようになっている。この装着構成は、ピラーバンプ936とチップ946との間で電力および信号が送信されることを可能にすることができ、集積回路948が外部回路によって制御され得るようになっている。いくつかの実施形態において、チップ946は、さまざまな適切な集積回路(たとえば、CMOS回路およびRF回路などになるように配置されたトランジスターを含む制御回路など)を含むことが可能である。いくつかの実施形態において、アクティブおよびパッシブデバイス(たとえば、トランジスター、ダイオード、キャパシター、抵抗器、およびインダクターなど)は、チップ946の上におよび/またはチップ946の中に配設され得る。ピラーバンプ(たとえば、ピラーバンプ916、936、および956など)の組み込みは、ワイヤーボンディング接続に必要性を低減させることが可能であり、そして、それは、寄生キャパシタンスおよびインダクタンスを低減させる。図9は、1行のピラーバンプ916、936、および956を示しているが、複数の行/列のピラーバンプが、電気的な接続を提供するために配設され得る。たとえば、ピラーバンプ916、936、および956は、x方向に延在する行で形成されている。追加的なピラーバンプが、方向に延在する列で配設され得、それは、簡単にするために図9には示されていない。たとえば、ピラーバンプは、図2の平面図200を参照して示されている複数の行のコンタクトパッド208a~208dの上に形成され得る。ピラーバンプのアレイをパッケージング構造体の中に組み込むことは、製品歩留まりおよび性能を改善することが可能であり、また、利用可能なI/Oコネクトの数を増加させることが可能であり、そして、それは、高歩留まりの(high-yield)複数の行/列のI/Oコネクトを形成するために、ワイヤー接続(たとえば、ワイヤーボンディング)を使用する必要性を緩和することが可能である。
再び図1を参照すると、動作145において、いくつかの実施形態によれば、反転されたチップが、第3の階段層の中に配設される。図10に示されているように、チップ1046は、キャリア1050に取り付けられている集積回路1048を含むことが可能である。第3の階段層506と同じ水平方向のレベルに配設されているチップ1046は、下側階段層(たとえば、第2の階段層504)に直接的に接続されている。チップ1046は、反転されたピラーバンプ916の上に装着され得、集積回路1048からの端子(図9には示されていない)が、ステップ519の方を向くようになっており、ピラー本体部920の上部表面と接触した状態になることができるようになっている。この装着構成は、ピラーバンプ916とチップ1046との間で電力および信号が送信されることを可能にすることができ、集積回路1048が、第1の階段層502の水平方向相互接続部および垂直方向相互接続部を通して、外部回路によって制御され得るようになっている。
チップ1046は、さまざまな集積回路(たとえば、メモリ回路など)を含むことが可能である。たとえば、チップ1046は、3D NANDフラッシュメモリチップなどのような3次元(3D)メモリ回路を含むことが可能である。3D NANDフラッシュメモリチップは、フラッシュメモリセルのアレイを含むことが可能であり、それは、基板の上に配置されているゲート電極のスタックを含み、ワードラインを通ってワードラインに交差する、基板の中への半導体チャネルを備える。3D NANDフラッシュメモリチップの詳細な構造は、簡単にするために図10に示されていない。詳細な構造は、底部/下側選択的ゲートとして機能する底部/下側ゲート電極を含むことが可能である。上部/上側ゲート電極は、上部/上側選択的ゲートとして機能する。上部/上側選択的ゲート電極と底部/下側ゲート電極との間のワードライン/ゲート電極は、ワードラインとして機能する。ワードラインおよび半導体チャネルの交差は、メモリセルを形成する。上部/上側選択的ゲートは、行選択のためのワードラインに接続されており、底部/下側選択的ゲートは、列選択のためのビットラインに接続されている。3D NANDメモリチップは、外部接続からワードライン、選択的ゲート、または、任意の適切な端子への電気的な接続を提供するためのコンタクト構造体のアレイを含むことが可能である。コンタクト構造体は、フラッシュメモリコントローラーまたはシステムによってアクセスされることとなる半導体パッケージングの外部接続に電気的に連結され得る。3D NANDフラッシュメモリデバイスおよびそれを形成するための方法の例は、「Memory Device and Forming Method Thereof」という標題の米国特許第10,559,592号に見出され得、その文献は、その全体が参照により本明細書に組み込まれている。
再び図1を参照すると、動作150において、いくつかの実施形態によれば、追加的な反転されたチップが、さまざまな階段層の中に配設される。反転されたチップは、キャリアの上に装着された集積回路を含むことが可能である。たとえば、チップ1146は、キャリア1050に取り付けられている集積回路1048を含むことが可能である。いくつかの実施形態において、チップは、キャリアなしで配設され得る(たとえば、集積回路を含むチップ1346など)。図11に示されているように、チップ1046と同様に、チップ1146、1246、および1168のそれぞれは、その後に階段層の上に配設され、その階段層の真下の別の階段層に直接的におよび電気的に接続され得る。また、チップ1146、1246、および1168は、水平方向相互接続部および垂直方向相互接続部を通して、他の階段層に電気的に接続され得る。たとえば、チップ1146は、反転されてピラーバンプ926の上に装着され得、集積回路1148からの端子(図11には示されていない)が、第3の階段層506によってカバーされていない第2の階段層504の一部分に(たとえば、真上)に面することができるようになっており、ピラー本体部930の上部表面と接触した状態になることができるようになっている。この装着構成は、ピラーバンプ926とチップ1146との間で電力および信号が送信されることを可能にすることができ、集積回路1148が、第1および第2の階段層502および504の水平方向相互接続部および垂直方向相互接続部を通して、外部回路によって制御され得るようになっている。
モールディングコンパウンドが、キャリア基板の上に形成され、スタックされた相互接続構造体および配設されたチップをカプセル化することが可能である。図11に示されているように、モールディングコンパウンド1180は、階段層502~508およびチップ946~1346がモールディングコンパウンド1180の中に埋め込まれるように配設され得る。いくつかの実施形態において、モールディングコンパウンド1180は、樹脂コンパウンド、エポキシモールディングコンパウンド、任意の適切なモールディングコンパウンド、および/または、それらの組み合わせから形成され得る。
再び図1を参照すると、動作155において、いくつかの実施形態によれば、再分配層(RDL)および金属バンプが形成される。図12に示されているように、パッケージング構造体200は反転されており、RDL1202および金属バンプ1204が、パッケージング構造体200の裏側に配設され得る。金属バンプ1204は、I/Oコンタクトとして、または、任意の適切な電気的コンタクトとして使用され得る。いくつかの実施形態において、キャリア基板202が、RDL1202によって交換され得る。たとえば、キャリア基板202が、誘電体層310、垂直方向相互接続部418、コンタクトパッド208、およびモールディングコンパウンド1180の表面を露出させるために除去され得る。次いで、RDL1202が、上述の露出された表面の上に形成され得る。RDL1202は、第1の階段層502の中に形成された垂直方向相互接続部をより大きなフットプリントへファンアウトするための水平方向の導電性ラインおよび垂直方向の導電性ラインを含むことが可能である。いくつかの実施形態において、RDL1202は、導電性ワイヤー(簡単にするために図12には示されていない)がその中に埋め込まれた状態の1つまたは複数の誘電体層を含むことが可能である。導電性ワイヤーは、任意の適切な材料(たとえば、アルミニウム、アルミニウム合金、または他の金属など)を使用して形成され得る。いくつかの実施形態において、RDL1202は、ヒューズをさらに含むことが可能である。
金属バンプ1204は、RDL1202の上に配設され、RDL1202に電気的に接続され得る。金属バンプ1204は、はんだバンプ(たとえば、共晶はんだバンプなど)を含むことが可能である。あるいは、金属バンプ1204は、銅バンプから形成され得るか、または、金、銀、ニッケル、タングステン、アルミニウム、他の金属、および/もしくは、それらの合金から形成された他の金属バンプから形成され得る。また、金属バンプ1204は、半導体相互接続技法(たとえば、フリップチップ相互接続など)において使用されるControlled Collapse Chip Connection (C4)バンプを含むことが可能である。いくつかの実施形態において、金属バンプ1204は、図12に示されているように、RDL1202の表面から突出することが可能である。はんだマスク(図示せず)は、金属バンプ1204の形成の前に配設され、バンプ材料が望ましくない領域に形成しないように保護することが可能である。金属バンプ1204は、PVD、CVD、電気化学堆積(ECD)、分子線エピタキシー(MBE)、原子層堆積(ALD)、および電気めっきを含む、任意の数の適切な技法を通して形成され得る。
図13および図14は、いくつかの実施形態による、スタックされたチップの両方の端部に形成された階段相互接続構造体を組み込んだパッケージング構造体1300を示している。図2~図14における同様の参照番号は、一般的に、同一の要素、機能的に同様の要素、および/または、構造的に同様の要素を示す。
図13は、RDLおよび金属バンプの形成の前のパッケージング構造体1300を示している。パッケージング構造体1300は、第1のパッケージ1304および第2のパッケージ1306を含む。いくつかの実施形態において、第1および第2のパッケージ1304および1306は、図13に示されているように、ミラー対称的になっていることが可能である。チップ946、1046、1146、および1246を含むスタックされたチップは、図2~図12のものと同様であり、簡単にするために本明細書には詳細に記載されていない。図2~図12に記載されている階段相互接続構造体とは対照的に、図13および図14に示されている階段相互接続構造体は、スタックされたチップの両方の端部に接続されている。たとえば、図13に示されているように、ピラーバンプ916および926は、チップ1046および1146の一方の端部に接続されており、一方では、ピラーバンプ1316および1326は、チップ1246および1346の対向する端部に接続されている。
図14は、RDLおよび金属バンプの形成の後のパッケージング構造体1300を示している。図14に示されているように、RDL1402は、パッケージング構造体1300の裏側に配設され得、金属バンプ1404は、RDL1402の上に配設され得る。RDL1402および金属バンプ1404は、RDL1202および金属バンプ1204と同様であることが可能であり、簡単にするために本明細書には詳細に記載されていない。
図12および図14に示されているパッケージング構造体の中に金属バンプが配設された後に、追加的なプロセスが実施され得る。たとえば、パッケージング特質(たとえば、製作歩留まりおよびデバイス性能など)を決定するために、金属バンプを通して、パネルレベル試験が実施され得る。ダイシングプロセスが、隣接するパッケージを分離するために使用され得る。たとえば、図12のパッケージ204および206は、パッケージ境界205に沿ってダイシングすることによって分離され得る。パッケージ1304および1306は、パッケージ境界1305に沿ってダイシングすることによって分離され得る。
図15および図16は、いくつかの実施形態による、RDLおよび金属バンプの形成の前のパッケージング構造体1500を示している。図15および図16は、それぞれ、パッケージング構造体1500の断面図および平面図である。コンタクトパッド208は、基板の中央領域の上に、階段層1502と階段層1504との間に形成されている。図6~図14に示されている階段層と同様に、階段層1502および1504は、x方向に形成されたステップを有することが可能である。加えて、階段層1504は、適切な方法(たとえば、図16に示されているように、y方向にインクリメンタルに減少する長さを有するチップをスタックさせることなど)によってy方向に形成されたステップを有することも可能である。たとえば、長さLを有するチップ1346は、より短い長さLを有するチップ1160の上に形成され得る。いくつかの実施形態において、同様の長さを有するチップを装着することは、また、インクリメンタルなオフセットを伴って後続のチップを装着することによって、y方向にステップを有することが可能である。図12および図14に記載されているものと同様のRDLおよび金属バンプが、パッケージング構造体1500の上に形成され得、それは、簡単にするために本明細書では詳細に議論されていない。
本開示は、ファンアウトパッケージングにおけるメモリチップのためのスタックされた階段相互接続構造体を記載している。スタックされた階段相互接続構造体は、スタックされた階段相互接続構造体のそれぞれのレベルの上に形成されたピラーバンプを通して、スタックされたチップのそれぞれのチップへの電気的な接続を提供することが可能であり、そして、それは、I/O接続ポイントの数を増加させる。
いくつかの実施形態において、半導体パッケージは、RDLの第1の表面の上に形成された再分配層(RDL)および金属バンプを含む。また、半導体パッケージは、RDLの第2の表面の上に形成された階段相互接続構造体を含む。階段相互接続構造体は、階段層を含み、それぞれの階段層は、隣接する階段層からオフセットされている。半導体パッケージは、階段相互接続構造体に電気的に接続されている集積回路(IC)チップをさらに含む。
いくつかの実施形態において、半導体パッケージは、再分配層(RDL)と、RDLと接触しているコンタクトパッドとを含む。また、半導体パッケージは、複数のコンタクトパッドと接触している第1の複数のピラーバンプを含む。半導体パッケージは、RDLと接触している階段相互接続構造体をさらに含む。階段相互接続構造体は、RDLと接触している第1の複数の相互接続部を有する第1の階段層と、第1の階段層に隣接する第2の階段層とを含む。第2の階段層は、第1の複数の相互接続部に接触している第2の複数の相互接続部を含む。階段相互接続構造体は、第3の階段層をさらに含み、第3の階段層は、第2の階段層に隣接しており、第3の複数の相互接続部を有している。また、半導体パッケージは、第1の複数のピラーバンプに接触している第1の集積回路(IC)チップと、第1の階段層に接触している第2の複数のピラーバンプとを含む。また、半導体パッケージは、第1のICチップおよび第2の複数のピラーバンプに接触している第2のICチップを含む。
いくつかの実施形態において、半導体パッケージを形成するための方法は、キャリア基板の上に第1の階段層を形成するステップを含む。第1の階段層を形成するステップは、キャリア基板の上に第1の誘電体層を配設するステップと、第1の誘電体層の中に第1の複数の相互接続部を形成するステップとを含む。また、方法は、第1の階段層の上にブロッキング層を配設するステップを含む。ブロッキング層は、第1の複数の相互接続部のうちの少なくとも1つの相互接続部の上部表面に接触している。方法は、第1の階段層の上に第2の階段層を形成するステップをさらに含む。第2の階段層は、ブロッキング層に接触している。また、方法は、ブロッキング層を除去するステップと、少なくとも1つの相互接続部の上部表面を露出させるステップとを含む。方法は、少なくとも1つの相互接続部の露出された上部表面の上にピラーバンプを形成するステップをさらに含む。また、方法は、ピラーバンプの上に集積回路(IC)チップを装着するステップを含む。
したがって、特定の実施形態の先述の記載は、他の人が、当業者の範囲内の知識を適用することによって、本開示の一般的な概念から逸脱することなく、過度の実験なしに、さまざまな用途に関して、そのような特定の実施形態を容易に修正および/または適合させることができる本開示の一般的な性質を明らかにすることとなる。したがって、そのような適合および修正は、本明細書に提示されている教示および指針に基づいて、開示されている実施形態の均等物の意味および範囲の中にあることを意図している。本明細書での言い回しまたは専門用語は、説明の目的のためのものであり、限定ではなく、本明細書の専門用語または言い回しは、教示および指針に照らして当業者によって解釈されることとなるようになっているということが理解されるべきである。
本開示の実施形態は、特定の機能およびその関係の実装を図示する機能的なビルディングブロックの助けを借りて上に記載されてきた。これらの機能的なビルディングブロックの境界は、説明の便宜上、本明細書では任意に定義されている。特定の機能およびその関係が適当に実施される限りにおいて、代替的な境界が定義され得る。
概要および要約のセクションは、本発明者によって企図される本開示の1つまたは複数の(しかし、すべてではない)例示的な実施形態を記載している可能性があり、したがって、決して本開示および添付の特許請求の範囲を限定することを意図していない。
本開示の幅および範囲は、上記の例示的な実施形態のいずれによっても限定されるべきではなく、以下の特許請求の範囲およびその均等物のみにしたがって定義されるべきである。
200 パッケージング構造体
202 キャリア基板
204 第1のパッケージ
205 パッケージ境界
206 第2のパッケージ
208 コンタクトパッド
208a コンタクトパッド
208b コンタクトパッド
208c コンタクトパッド
208d コンタクトパッド
210 側面図
220 平面図
310 誘電体層
314 ブロッキング層
418 垂直方向相互接続部
502 第1の階段層
504 第2の階段層
506 第3の階段層
508 第4の階段層
510 誘電体層
514 ブロッキング層
518 水平方向相互接続部
519 ステップ
602 誘電体層
604 誘電体層
610 ブロッキング層
612 ブロッキング層
618 垂直方向相互接続部
620 水平方向相互接続部
702 誘電体層
710 ブロッキング層
712 ブロッキング層
714 誘電体層
718 垂直方向相互接続部
720 水平方向相互接続部
732 誘電体層
740 ブロッキング層
742 ブロッキング層
754 誘電体層
758 垂直方向相互接続部
760 水平方向相互接続部
916 ピラーバンプ
918 ピラーベース
920 ピラー本体部
926 ピラーバンプ
930 ピラー本体部
936 ピラーバンプ
938 ピラーベース
940 ピラー本体部
946 チップ
948 集積回路
950 キャリア
956 ピラーバンプ
958 ピラーベース
960 ピラー本体部
1046 チップ
1048 集積回路
1050 キャリア
1146 チップ
1148 集積回路
1160 チップ
1180 モールディングコンパウンド
1202 再分配層(RDL)
1204 金属バンプ
1246 チップ
1300 パッケージング構造体
1304 第1のパッケージ
1305 パッケージ境界
1306 第2のパッケージ
1316 ピラーバンプ
1326 ピラーバンプ
1346 チップ
1402 RDL
1404 金属バンプ
1500 パッケージング構造体
1502 階段層
1504 階段層
長さ
長さ

Claims (26)

  1. 半導体パッケージであって、
    複数の入力/出力(I/O)コンタクトに接触している第1の表面、および、前記第1の表面とは反対側の第2の表面を含む再分配層(RDL)と;
    階段相互接続構造体であって、前記階段相互接続構造体は、前記RDLの前記第2の表面の上に形成されており、前記RDLと電気的に接続されており、
    前記階段相互接続構造体は、複数の階段層を含み、前記複数の階段層は、第1の階段層、および、前記第1の階段層の上部表面の上にスタックされた第2の階段層を含み;
    前記第2の階段層は、前記第1の階段層の前記上部表面の一部分をカバーしており、前記第1の階段層の前記上部表面の残りの部分が露出されるようになっている、階段相互接続構造体と;
    前記階段相互接続構造体を介して前記RDLに電気的に接続されている複数の集積回路(IC)チップであって、前記複数のICチップのうちの第1のICチップは、前記第1の階段層の前記上部表面の前記残りの部分を通して、前記RDLに電気的に接続されている、複数の集積回路(IC)チップと
    を含む、半導体パッケージ。
  2. 前記複数の階段層は、前記第2の階段層の上部表面の上にスタックされた第3の階段層をさらに含み、前記第3の階段層は、前記第2の階段層の前記上部表面の一部分をカバーしており、前記第2の階段層の前記上部表面の残りの部分が露出されるようになっている、請求項1に記載の半導体パッケージ。
  3. 前記第2の階段層の幅は、前記第1の階段層の幅よりも小さく;
    前記第3の階段層の幅は、前記第2の階段層の前記幅よりも小さい、請求項2に記載の半導体パッケージ。
  4. 前記複数のICチップは、前記第2の階段層の前記上部表面の前記残りの部分を通して前記RDLに電気的に接続されている第2のICチップをさらに含む、請求項2に記載の半導体パッケージ。
  5. 前記第2のICチップは、前記第1のICチップからオフセットされており、前記第2のICチップの1つまたは複数の端子が、前記第2の階段層の前記上部表面の前記残りの部分の真上にあるようになっている、請求項4に記載の半導体パッケージ。
  6. 前記第1のICチップは、1つまたは複数のピラーバンプを通して前記第1の階段層に電気的に接続されている、請求項4に記載の半導体パッケージ。
  7. 前記1つまたは複数のピラーバンプは、前記第2の階段層と同じ水平方向のレベルに配設されている、請求項6に記載の半導体パッケージ。
  8. 前記複数のICチップは、1つまたは複数のピラーバンプの上にフリップマウントされた第3のICチップをさらに含む、請求項4に記載の半導体パッケージ。
  9. 前記1つまたは複数のピラーバンプおよび前記第1のICチップは、前記第3の階段層と同じ水平方向のレベルに配設されている、請求項8に記載の半導体パッケージ。
  10. 前記第3のICチップは、前記1つまたは複数のピラーバンプを通して、前記第2の階段層の前記上部表面の露出された部分に電気的に接続されている、請求項8に記載の半導体パッケージ。
  11. 前記第1および第2のICチップは、NANDフラッシュメモリチップを含む、請求項3に記載の半導体パッケージ。
  12. 前記RDLの前記第2の表面の上に配設されている複数のコンタクトパッドと;
    前記コンタクトパッドの上にフリップマウントされた第2のICチップと
    をさらに含む、請求項1に記載の半導体パッケージ。
  13. 前記第1のICチップは、前記第2のICチップからオフセットされており、前記第1のICチップの1つまたは複数の端子が、前記第1の階段層の前記上部表面の前記残りの部分の真上にあるようになっている、請求項12に記載の半導体パッケージ。
  14. 前記複数のICチップは、前記階段相互接続構造体によって前記RDLの上にフリップマウントされている、請求項1に記載の半導体パッケージ。
  15. 前記第1の階段層は、第1の複数の垂直方向相互接続部および第1の複数の水平方向相互接続部を含み、前記第1の複数の垂直方向相互接続部は、前記RDLと接触している第1の端部と、前記第1の複数の水平方向相互接続部と接触している第2の端部とを含む、請求項1に記載の半導体パッケージ。
  16. 前記第2の階段層は、第2の複数の垂直方向相互接続部および第2の複数の水平方向相互接続部を含み、前記第2の複数の垂直方向相互接続部は、前記第1の複数の水平方向相互接続部と接触している、請求項15に記載の半導体パッケージ。
  17. 前記階段相互接続構造体および前記複数のICチップをカプセル化するモールディングコンパウンドをさらに含む、請求項1に記載の半導体パッケージ。
  18. 前記複数のI/Oコンタクトは、複数の金属バンプを含む、請求項1に記載の半導体パッケージ。
  19. 半導体パッケージ構造体を形成するための方法であって、前記方法は、
    キャリア基板を提供するステップと;
    前記キャリア基板の上に階段相互接続構造体を形成するステップであって、形成する前記ステップは、
    第1の階段層を形成するステップ、および、
    前記第1の階段層の上部表面の上に第2の階段層を形成するステップであって、前記第2の階段層は、前記第1の階段層の前記上部表面の一部分をカバーしており、前記第1の階段層の前記上部表面の残りの部分が露出されるようになっている、ステップ
    を含む、ステップと;
    前記キャリア基板の上におよび前記階段相互接続構造体の上に複数の集積回路(IC)チップをフリップマウントするステップであって、フリップマウントする前記ステップは、前記第1の階段層の前記上部表面の前記残りの部分を通して、前記複数のICチップのうちの第1のICチップを前記第1の階段層に電気的に接続するステップを含む、ステップと;
    前記キャリア基板を再分配層(RDL)と交換するステップと;
    前記階段相互接続構造体を通して前記複数のICチップを前記RDLに電気的に接続するステップであって、電気的に接続する前記ステップは、前記第1の階段層の前記上部表面の前記残りの部分を通して、前記第1のICチップを前記RDLに電気的に接続するステップを含む、ステップと
    を含む、方法。
  20. 前記第2の階段層の上部表面の上に第3の階段層をスタックさせるステップであって、前記第3の階段層は、前記第2の階段層の前記上部表面の一部分をカバーしており、前記第2の階段層の前記上部表面の残りの部分が露出されるようになっている、ステップと;
    前記第2の階段層の前記上部表面の前記残りの部分の上に1つまたは複数のピラーバンプを形成するステップと;
    前記1つまたは複数のピラーバンプの上に前記複数のICチップの第2のICチップをフリップマウントするステップと
    をさらに含む、請求項19に記載の方法。
  21. 第1の階段層を形成するステップは、前記キャリア基板の上に第1の誘電体層を堆積させるステップと、前記第1の誘電体層の中に複数の垂直方向相互接続部を形成するステップとを含む、請求項19に記載の方法。
  22. 前記第1の階段層を形成するステップは、前記第1の誘電体層の上に第2の誘電体層を堆積させるステップと、前記第2の誘電体層の中に複数の水平方向相互接続部を形成するステップとをさらに含む、請求項21に記載の方法。
  23. 複数の水平方向相互接続部を形成するステップは、
    前記第2の誘電体層の中に複数の開口部を形成するステップであって、前記複数の開口部のうちの少なくとも1つの開口部は、前記複数の垂直方向相互接続部のうちの少なくとも1つの垂直方向相互接続部を露出させる、ステップと;
    前記複数の開口部の中に導電性材料を堆積させるステップと
    を含む、請求項22に記載の方法。
  24. 前記キャリア基板の上に2行以上のコンタクトパッドを堆積させるステップをさらに含む、請求項19に記載の方法。
  25. 前記2行以上のコンタクトパッドの上に前記複数のICチップのうちの第2のICチップをフリップマウントするステップをさらに含む、請求項24に記載の方法。
  26. 前記複数のICチップをフリップマウントするステップは、前記第2のICチップの上に前記第1のICチップをフリップマウントするステップを含む、請求項25に記載の方法。
JP2022578910A 2021-02-05 2021-02-05 フリップチップスタッキング構造体およびそれを形成するための方法 Pending JP2023531029A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/CN2021/075513 WO2022165749A1 (en) 2021-02-05 2021-02-05 Flip-chip stacking structures and methods for forming the same

Publications (1)

Publication Number Publication Date
JP2023531029A true JP2023531029A (ja) 2023-07-20

Family

ID=76233927

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2022578910A Pending JP2023531029A (ja) 2021-02-05 2021-02-05 フリップチップスタッキング構造体およびそれを形成するための方法

Country Status (5)

Country Link
US (1) US20220254755A1 (ja)
JP (1) JP2023531029A (ja)
KR (1) KR20230015409A (ja)
CN (2) CN112956023B (ja)
WO (1) WO2022165749A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113745171A (zh) * 2021-08-31 2021-12-03 华天科技(南京)有限公司 一种设置有台阶腔体的芯片堆叠封装结构及其制作方法

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7569421B2 (en) * 2007-05-04 2009-08-04 Stats Chippac, Ltd. Through-hole via on saw streets
KR100945504B1 (ko) * 2007-06-26 2010-03-09 주식회사 하이닉스반도체 스택 패키지 및 그의 제조 방법
KR101053140B1 (ko) * 2009-04-10 2011-08-02 주식회사 하이닉스반도체 적층 반도체 패키지
US8552546B2 (en) * 2009-10-06 2013-10-08 Samsung Electronics Co., Ltd. Semiconductor package, semiconductor package structure including the semiconductor package, and mobile phone including the semiconductor package structure
US9082632B2 (en) * 2012-05-10 2015-07-14 Oracle International Corporation Ramp-stack chip package with variable chip spacing
US9449914B2 (en) * 2014-07-17 2016-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked integrated circuits with redistribution lines
KR102352237B1 (ko) * 2014-10-23 2022-01-18 삼성전자주식회사 팬 아웃 웨이퍼 레벨 패키지의 제조 방법 및 그의 구조
US10566310B2 (en) * 2016-04-11 2020-02-18 Invensas Corporation Microelectronic packages having stacked die and wire bond interconnects
KR20180001699A (ko) * 2016-06-27 2018-01-05 에스케이하이닉스 주식회사 웨이퍼 레벨 패키지 및 제조 방법
CN107611099B (zh) * 2016-07-12 2020-03-24 晟碟信息科技(上海)有限公司 包括多个半导体裸芯的扇出半导体装置
US11469215B2 (en) * 2016-07-13 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Chip package structure with molding layer and method for forming the same
WO2018058548A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Stair-stacked dice device in system in package, and methods of making same
US10115632B1 (en) * 2017-04-17 2018-10-30 Sandisk Technologies Llc Three-dimensional memory device having conductive support structures and method of making thereof
KR20180130043A (ko) * 2017-05-25 2018-12-06 에스케이하이닉스 주식회사 칩 스택들을 가지는 반도체 패키지
CN108336037B (zh) * 2017-09-30 2022-02-11 中芯集成电路(宁波)有限公司 一种晶圆级系统封装结构和电子装置
DE112017008335T5 (de) * 2017-12-28 2020-09-03 Intel Corporation Multi-Die, Vertikal-Draht-Package-in-Package-Vorrichtung und Verfahren zum Herstellen desselben
WO2020029216A1 (en) * 2018-08-10 2020-02-13 Yangtze Memory Technologies Co., Ltd. Multi-division 3d nand memory device
KR102628536B1 (ko) * 2019-02-01 2024-01-25 에스케이하이닉스 주식회사 적층 칩 구조를 가지는 반도체 패키지
TWI832924B (zh) * 2019-05-02 2024-02-21 南韓商愛思開海力士有限公司 包括扇出子封裝件的堆疊封裝件
CN110957284A (zh) * 2019-12-04 2020-04-03 中芯长电半导体(江阴)有限公司 芯片的三维封装结构及其封装方法
WO2022021022A1 (en) * 2020-07-27 2022-02-03 Yangtze Memory Technologies Co., Ltd. Staircase structures for word line contacts in three-dimensional memory

Also Published As

Publication number Publication date
CN117080216A (zh) 2023-11-17
WO2022165749A1 (en) 2022-08-11
US20220254755A1 (en) 2022-08-11
KR20230015409A (ko) 2023-01-31
CN112956023A (zh) 2021-06-11
CN112956023B (zh) 2023-09-12

Similar Documents

Publication Publication Date Title
US11183488B2 (en) Three-dimensional memory devices with stacked device chips using interposers
US20200258865A1 (en) Stacked Integrated Circuits with Redistribution Lines
US7777345B2 (en) Semiconductor device having through electrode and method of fabricating the same
CN108074797B (zh) 制作衬底结构的方法
TWI812168B (zh) 三維元件結構及其形成方法
US10249587B1 (en) Semiconductor device including optional pad interconnect
CN111834314B (zh) 封装结构及其制造方法
US20220285324A1 (en) Manufacturing method of three-dimensional stacking structure
TW202147538A (zh) 封裝結構及其製作方法
US11817305B2 (en) Front end of line interconnect structures and associated systems and methods
KR102573010B1 (ko) 컴퓨팅 시스템 패키지를 위한 아키텍처
US20220270972A1 (en) Contact structures for three-dimensional memory devices and methods for forming the same
US20220013503A1 (en) Semiconductor packages and methods of manufacturing the semiconductor packages
TW202310186A (zh) 三維裝置結構
US20230360986A1 (en) Semiconductor structure having an anti-arcing pattern disposed on a passivation layer
US20240136295A1 (en) Front end of line interconnect structures and associated systems and methods
TWI761117B (zh) 電感器、半導體元件及其製造方法
CN112956023B (zh) 倒装芯片堆叠结构及其形成方法
CN116075927A (zh) 前段工艺互连结构以及相关联系统和方法
US11646269B2 (en) Recessed semiconductor devices, and associated systems and methods
KR102431331B1 (ko) 반도체 패키지 및 그 제조 방법
US20230163087A1 (en) Semiconductor package
CN117438397A (zh) 半导体器件和制造其的方法
KR20240018864A (ko) 반도체 패키지 및 그 제조방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221220

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20221220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240129

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240424

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20240507