JP2021535617A - 3次元ロジック機構内に積層型トランジスタを組み込んだロジックセルを垂直方向にルーティングする方法 - Google Patents

3次元ロジック機構内に積層型トランジスタを組み込んだロジックセルを垂直方向にルーティングする方法 Download PDF

Info

Publication number
JP2021535617A
JP2021535617A JP2021512578A JP2021512578A JP2021535617A JP 2021535617 A JP2021535617 A JP 2021535617A JP 2021512578 A JP2021512578 A JP 2021512578A JP 2021512578 A JP2021512578 A JP 2021512578A JP 2021535617 A JP2021535617 A JP 2021535617A
Authority
JP
Japan
Prior art keywords
fet
source
channel
logic gate
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2021512578A
Other languages
English (en)
Inventor
スミス,ジェフリー
デヴィリアーズ,アントン
タピリー,カンダバラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021535617A publication Critical patent/JP2021535617A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/07Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common
    • H01L27/0705Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration the components having an active region in common comprising components of the field effect type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Nanotechnology (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Engineering & Computer Science (AREA)
  • Materials Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)

Abstract

半導体デバイスは、実質的に平坦な表面を有する基板と、基板上に設けられ、第1のチャネルと第1のソース−ドレイン領域対とを有する第1の電界効果トランジスタ(FET)を含む第1のロジックゲートと、基板の表面に対して垂直な垂直方向に沿って第1のロジックゲート上に積層された第2のロジックゲートであって、第2のチャネルと第2のソース−ドレイン領域対とを有する第2のFETを含む、第2のロジックゲートと、第1のロジックゲートと第2のロジックゲートとの間を流れる電流の少なくとも一部が前記垂直方向に沿って流れるように、第1のFETのソース−ドレイン領域を第2のFETのソース−ドレイン領域に電気的に接続するコンタクトと、を含む。

Description

関連出願の相互参照
本開示は、2018年9月5日に出願された米国仮特許出願第62/727096号明細書の利益を主張するものであり、その全体が参照により本明細書に組み込まれる。
本開示は、フローティング積層型トランジスタ及びソース/ドレイン電極の垂直ルーティングによりロジックスタンダードセルを大幅に面積微細化する方法に関する。
ここに記載する背景技術の説明は、本開示の状況を一般的に示すためのものである。この背景技術の項で説明される範囲における本発明者の研究及び出願時に先行技術として認定されていないであろう記載の態様は、本発明に対する先行技術として明示的にも暗示的にも認められない。
半導体デバイスの(特に、顕微鏡スケールでの)製造において、薄膜形成堆積、エッチングマスク生成、パターン形成、材料エッチング及び除去、並びにドーピング処理等の様々な製作プロセスが行われている。これらのプロセスは、基板上に所望の半導体デバイス素子を形成するよう繰り返し行われる。歴史的に、微細加工により、トランジスタは、1つの平面内に作成され、アクティブデバイス平面上に配線/メタライゼーションが形成され、したがって、トランジスタは、2次元(2D)回路又は2Dファブリケーションとして特徴付けられている。微細化の取り組みにより、2D回路内の単位面積当たりのトランジスタ数は、大幅に増加したものの、微細化が1桁のナノメートルの半導体デバイス製作ノードに入るにつれて、微細化の取り組みは、より大きい課題に直面している。半導体デバイス製造業者は、トランジスタとデバイスとが互いに積み重ねられた3次元(3D)半導体回路に対する要望を表明してきた。
本開示は、実質的に平坦な表面を有する基板と、基板上に設けられ、第1のチャネルと第1のソース−ドレイン領域対とを有する第1の電界効果トランジスタ(FET)を含む第1のロジックゲートと、基板の表面に対して垂直な垂直方向に沿って第1のロジックゲート上に積層された第2のロジックゲートであって、第2のチャネルと第2のソース−ドレイン領域対とを有する第2のFETを含む、第2のロジックゲートと、第1のロジックゲートと第2のロジックゲートとの間を流れる電流の少なくとも一部が前記垂直方向に沿って流れるように、第1のFETのソース−ドレイン領域を第2のFETのソース−ドレイン領域に電気的に接続するコンタクトと、を含む、半導体デバイスに関する。
本開示は、更に、実質的に平坦な表面を有する基板と、基板の表面に対して垂直な垂直方向に沿って互いに積層された複数のロジックゲートと、前記垂直方向に沿って延びており、複数のロジックゲート間を流れる電流の少なくとも一部が前記垂直方向に沿って流れるように、前記複数のロジックゲートのうちの1つの出力を前記複数のロジックゲートのうちの別の1つの入力に電気的に接続するコンタクトと、を含む、組み合わせロジックセルに関する。
本開示は、更に、基板と、第1のデッキ内の基板上に設けられ、第1のチャネルと、第1のゲート構造と、第1のソース電極と、第1のドレイン電極とを含む、第1の電界効果トランジスタ(FET)と、基板の平面に対して垂直に、第2のデッキ内の第1のFETの上に垂直に重ねて積層され、第1のチャネルと、第1のゲート構造と、第1のソース電極と、第1のドレイン電極とを含む、第2のFETと、第1のFETの第1のソース電極又は第1のドレイン電極に電気的に接続された電流源と、を含み、電流源によって供給される電流は、第1のデッキ内の第1のFETを通って流れ、第1のFETの第1のソース電極又は第1のFETの第1のドレイン電極を出る電流は、第2のデッキへと上向きに垂直に、第2のFETの第1のソース電極又は第2のFETの第1のドレイン電極へと流れる、半導体デバイスに関する。
本開示はまた、第1のデッキは、1つより多い隣接する電界効果トランジスタ(FET)と対応するソース電極及びドレイン電極とを含み、第2のデッキは、1つより多い隣接する電界効果トランジスタ(FET)と対応するソース電極及びドレイン電極とを含み、電流源から供給される電流は、第1のデッキ内の複数のFETを通って流れ、第1のデッキのソース電極を出る電流は、第2のデッキへと上向きに垂直に流れる、半導体デバイスに関する。
この発明の概要の項は、本開示又は特許請求の範囲に記載される本発明の全ての実施形態、及び/又は段階的に新規な態様を明記するものではないことに留意されたい。その代わりに、この発明の概要は、異なる実施形態及び、新規性に関する対応点についての、予備的な考察のみを提供する。本発明及び実施形態の更なる詳細及び/又は考えられる観点について、読者は、以下に更に記述される、本開示の発明を実施するための形態の項及び対応する図面を参照されたい。
例として提案する本開示の様々な実施形態を、同様の番号が同様の要素を参照する以下の図を参照して詳細に記述する。
本開示の一実施形態による、埋め込みVssパワーレールと埋め込みVddパワーレールとを組み込んだロジックスタンダードセルのレイアウトを示す。 本開示の一実施形態による、ロジックスタンダードセル内の電流の流れの概略図を示す。 本開示の一実施形態による、NMOSとPMOSとを互いに重ね合わせて積層したコンプリメンタリ(complimentary)FET(CFET)アーキテクチャを組み込んだAOIスタンダードセルのレイアウトを示す。 本開示の一実施形態による、積層されたNMOS及びPMOSを有するAOIスタンダードセル内の電流の流れの概略図を示す。 本開示の一実施形態による、スタンダードセルの水平方向の範囲が単一のコンタクテッドゲートピッチ内に適合する、垂直積層型トランジスタを組み込んだ垂直積層型ゲートセルの概略図を示す。 本開示の一実施形態による、垂直積層型ゲートセル内の電流の流れを示す。 本開示の一実施形態による、垂直積層型ゲートセルの回路図を示す。 本開示の一実施形態による、CFETベースの積層されたNMOS及びPMOSアーキテクチャを有する垂直積層型ゲートセルの概略図を示す。 本開示の一実施形態による、3デッキ垂直積層型ゲートセルのVss及びVdd入力の側面図を示す。 本開示の一実施形態による、3デッキ垂直積層型ゲートセルのトランジスタの側面図を示す。 本開示の一実施形態による、図7のVss及びVdd入力の側面図と反対側の、NMOSのS/D電極及びPMOSのS/D電極の図を示す。
以下の開示は、提供する主題の異なる特徴を実施するための多くの異なる実施形態又は実施例を提供する。本開示を簡略化するために、構成要素及び配置の特定の例を以下に記載する。当然のことながら、これらは、単なる例に過ぎず、限定を意図するものではない。例えば、以下に続く説明における第2の特徴の上方又は上での第1の特徴の形成は、第1の特徴と第2の特徴とが直接接触して形成される実施形態を含んでもよく、また、第1の特徴と第2の特徴とが直接接触し得ないように、第1の特徴と第2の特徴との間に追加の特徴が形成され得る実施形態を含んでもよい。加えて、本開示は、様々な例において参照番号及び/又は文字を繰り返すことがある。この繰り返しは、簡略化及び明確化を目的とするものであり、それ自体、考察される様々な実施形態及び/又は構成間の関係を決定付けるものではない。更に、本明細書では、「上部」、「下部」、「下」、「下方」、「より下」、「上方」、「より上」などの空間的に相対的な用語を、説明を簡単にするために使用して、図に示すような1つの要素又は特徴の、別の要素又は特徴に対する関係を説明することがある。空間的に相対的な用語は、図に示されている向きに加えて、使用中又は動作中のデバイスの異なる向きを包含することを意図している。装置は、それ以外に方向付けられ(90度回転されるか他の向きにある)てもよく、本明細書で使用される空間的に相対的な記述子もそれに応じて解釈することができる。
本明細書に記載する様々な工程の説明の順序は、分かりやすくするために示されているものである。一般に、これらの工程は、任意の適切な順序で実施することができる。加えて、本明細書における異なる特徴、技法、構成などがそれぞれ、本開示の異なる箇所に記述される場合があるが、その概念はそれぞれ、互いに独立して又は互いに組み合わせて実行され得るものとされる。したがって、本発明は、多くの異なる手法で具現化及び考察することができる。
CMOSデバイスの面積微細化は、3nmノードに関しては、スタンダードセルのトラック高さを低減するための従来の方法のほぼ全てが使い尽くされるほどの限界に達しようとしている。現在、ほとんどのスタンダードセル設計は、6〜7トラックのセル高さに基づいたものであり、いわゆる微細化ブースターに関する積極的な研究により、4トラックのセル高さに最終的に移行する道が開かれた。これを達成するために、いくつかの新たな集積化解決策が開発され、業界で採用されている。このような解決策としては、(a)埋め込みパワーレール、(b)アクティブゲート上コンタクト、(c)シングルディフュージョンカット、(d)PMOS及びNMOSの両方においてフィン群を2フィンに減らし、ばらつきを解決することができる場合には、望ましくは、NMOS及びPMOSの両方においてシングルフィンに減らすこと、(e)ビアに対するメタル層の完全セルフアライメントが挙げられる。
CFETデバイスにおいても、トラック高さ方向の更なる削減は困難であることが判明しており、これを上回るあらゆる微細化は、コンタクテッドゲートピッチ(CPP:contacted gate pitch)の削減によるものでなければならないと考えられている。CPPの従来の微細化にも、物理的なゲートサイズが10nm未満に及ぶことはできず、且つメタルゲートとメタルソース及びドレインコンタクトとの間の誘電体分離を維持するために使用するlow−kスペーサを有限幅に維持する必要があるという根本的な限界がある。
本明細書の手法は、互いに垂直方向に重ね合わせて積層した一連のフローティングゲートとソース電極及びドレイン電極とによりデバイスを垂直方向にルーティングすることによってロジックスタンダードセルを大幅に面積微細化する方法に関する。各トランジスタは、デバイスの「フロア」又は「デッキ」とみなすことができ、デバイスを通る電流の流れは、デバイス内の上方にある埋め込みパワーレールを介してデバイスに電力が供給される下部「フロア」又は「デッキ」から、相互接続ラインに接続され得る上部フロアに達する。これは、共通スタンダードセル内でトランジスタを層状デッキ構成に互いに重ねて積層することによりCPPピッチの微細化を行うことができることを意味する。したがって、複数のトランジスタがこの共通CPP空間内で垂直方向に積層されるものの、面積微細化の観点から、シングルCPPが使用される。より多数のトランジスタを組み込むより複雑なスタンダードセル設計については、トランジスタスタックの総高さ(これは最初のモノリシックフィン高さに相関する)とCPP削減の比率との間にある程度のバランスが得られるように、いくつかのトランジスタを下部デッキと上部デッキの両方に配置することができる。したがって、例えば、6つのNMOSトランジスタ及び6つのPMOSトランジスタを含むスタンダードセルを3つのデッキに組み込むことができ、3つのデッキはそれぞれ、NMOSトランジスタとPMOSトランジスタの両方を含む2つの隣接する共通ゲートを含む。
図1は、本開示の一実施形態による、埋め込みVssパワーレール105と埋め込みVddパワーレール110とを組み込んだロジックスタンダードセル100のレイアウトを示す。ロジックスタンダードセル100、例えば、AND−OR−Invert(AOI)セルは、nFET及びpFETが、電流が一端から他端に、例えば東/西又は水平方向に(示されるように)実質的に進む連続的な一連のゲート電極及びソース電極及びドレイン電極を通して延びるように設計され得る。構造が設けられている基板が平坦であるとすると、東/西又は水平方向は、基板の平面に平行な方向に沿う方向と解釈することができる。
図2は、本開示の一実施形態による、ロジックスタンダードセル100内の電流の流れの概略図を示す。CMOSデバイスにおいては、nFETとpFETは、ロジックスタンダードセル100内に互いに側方に隣接して延び、これらは、全体的な電流の流れに対して(示されるように)水平方向にレイアウトされ得る。簡略化のため、個々のソースコンタクト及びドレインコンタクトに供給される電流に焦点を当てるために、実際のゲート電極に対する全ての接続は取り除かれている。
図3は、本開示の一実施形態による、NMOSとPMOSとを互いに重ね合わせて積層したコンプリメンタリ(complimentary)FET(CFET)アーキテクチャを組み込んだAOIスタンダードセル300のレイアウトを示す。別の手法としては、nFETチャネルとpFETチャネルとを互いに側方に隣接させるのではなく、スタンダードセルの北/南又は垂直方向を例えば50%微細化するための試みとして、互いに重ね合わせて積層したCFETを可能にする方法が挙げられる。図3に示すように、AOIスタンダードセル300のトラック高さを3トラックにまで低減するために、従来のロジックによる微細化ブースターをCFETでも採用することができる。
図4は、本開示の一実施形態による、積層されたNMOS及びPMOSを有するAOIスタンダードセル300内の電流の流れの概略図を示す。ソース電極とドレイン電極を積層することで、NMOSとPMOS両方の間で共通トラックを共用することが可能になる。これを、互いに重ね合わせて積層したチャネルと組み合わせることで、4つのトラック高さのAOIスタンダードセル300を可能にする。従来のロジックと同様に、CFETの電流の流れは依然として一般的に東/西方向であり、従来のロジックと比較した場合の主な違いは、CFETのケースでは、nFETの電流とpFETの電流が互いに上下にあることである。別の実施形態では、3つのトラック高さのAOIスタンダードセル300が、例えば、ミッドトラックハンドシェイク(mid−track handshake)を使用することにより可能にされてもよい。
CFETでは、NMOSチャネルとPMOSチャネルとを互いに直接重ね合わせて積層する利点を使用する。ナノシートCFETデバイスのケースでは、セル高さが複数のフィンピッチを収容する必要性に支配されることはなく、ソース電極及びドレイン電極及びゲート電極は「互い違い」又は「階段状に」配され得るので、接続を、NMOS又はPMOSのいずれかからバックエンドオブライン(BEOL)の共通メタルトラックに対して行うことができ、それゆえ、NMOSとPMOSとの間のルーティングのために特定の複数のトラックを維持する必要性が低下する。ZNラインがPMOSソース及びドレインコンタクト305とNMOSソース及びドレインコンタクト310の両方に接続する一例が図3に示される。
CFETデバイスの場合でも、CFETデバイスもなお、水平方向に延びる一連のゲートとソース電極及びドレイン電極とで構成されている。デバイス内を流れる実効電流について考えると、CFETデバイスは、両電流が互いに垂直方向に上下で流れること以外は、nFETチャネル及びpFETチャネルを通る電流が一般に東/西方向に流れる標準的なCMOSFET設計に類似している。CFETがスタンダードセルのトラック高さを、例えば3又は4トラックに低減できることで、継続的な微細化の焦点は、それゆえ、コンタクテッドゲートピッチ(CPP)の低減に焦点が当てられなければならない。
本明細書中の手法には、スタンダードセル内の電流の流れが、例えばフィン電界効果トランジスタ(FINFET)デバイスのように外側ではなく、3次元デバイス内で上方にルーティングされ得るようにゲート構造を積層する方法を含む。即ち、デバイスは、各フロア又はデッキが別個のトランジスタ及びソース及びドレイン対(図5Aを参照)となり得るように、複数のデッキ又はフロアに積層され得る。
図5Aは、本開示の一実施形態による、スタンダードセルの水平方向の範囲が単一のコンタクテッドゲートピッチ内に適合する、垂直積層型トランジスタ505を組み込んだ垂直積層型ゲートセル500の概略図を示す。一実施形態では、図5Aは、積層されたソース電極と積層されたドレイン電極との間に作製された内部ビア接続、並びに積層されたソース電極の一部分と積層されたドレイン電極の一部分とをメタライゼーション層510に接続する内部ビアによって形成され得る、又はより複雑なスタンダードセルの全体的なCPPを微細化するために各フロア若しくはデッキがいくつかのトランジスタ及びソース及びドレインコンタクトを含み得る垂直積層型ゲートセル500内の内部配線を示す。
ソース及びドレインへの電力は、例えば、埋め込みパワーレールを介して供給され得る。パワーレールは、Bのラベルが付された第1のトランジスタのNMOS側に入り、B及びA1両方のPMOS側を通過する入力に供給することができる。デバイスの2つのデッキへのVdd供給は、PMOS側の第1のデッキのソース及びドレイン電極と第2のデッキのソース及びドレイン電極との間にビア接続を形成することにより達成され得る。或いは、所与のデバイスは、第1のPMOSソース及びドレイン電極と第2のデッキのPMOSソース及びドレイン電極とを単にマージ又はショートさせることによって作成され得る。別の例では、代替的に、電力は、メタライゼーション層510のパワーレールから供給され得る。例えば、電流の流れは、垂直積層型トランジスタ505とソース及びドレイン電極とを含むデッキ内を下方に送られ、メタライゼーション層510にある出力トラックに下部デッキを接続する出力ラインに垂直にルーティングされ得る。
PMOS側の第1のフロアの垂直積層型トランジスタ505の出力は、その後、メタライゼーション層510にあるメタルライン(メタルラインは、垂直積層型ゲートセル500全体の出力を結合するために使用され得る)に送られ、第1のデッキのトランジスタのNMOS側の出力は、その後、垂直積層型ゲートセル500の第2のデッキ及び第3のデッキの両入力に送られる。
図5Bは、本開示の一実施形態による、垂直積層型ゲートセル500内の電流の流れを示す。図5Cは、本開示の一実施形態による、垂直積層型ゲートセル500の回路図を示す。一実施形態において、図5Bは、電流が、例えば図2のロジックスタンダードセル100のようにセル全体にわたって水平方向にもはや流れず、むしろ、電流の流れが、各垂直積層型トランジスタ505のみにわたる水平方向であることを示す。特に、全体的な電流の流れは、その後、次のデッキ又はフロアへと上向きに垂直に誘導され、電流は、その中の次の垂直積層型トランジスタ505を通過し、このようにして垂直積層型ゲートセル500内を上方向に進む。例えば、垂直積層型トランジスタ505は、第1の垂直積層型トランジスタ505aと、第2の垂直積層型トランジスタ505bと、第3の垂直積層型トランジスタ505cとを含むことができ、第1の垂直積層型トランジスタ505aは、第2の垂直積層型トランジスタ505bの下に配置する(且つこれと実質的に又は部分的に垂直に整列する)ことができ、第2の垂直積層型トランジスタ505bは、第3の垂直積層型トランジスタ505cの下に配置する(且つこれと実質的に又は部分的に垂直に整列する)ことができる。垂直積層型トランジスタ505は、6つのソース及びドレイン電極(それぞれに2つのソースとドレインが配置される)と、ソース電極とドレイン電極の対の間に配置された6つのチャネルとを含むことができ、これらは全て、垂直積層型ゲートセル500内の内部での接続とソース及びドレイン電極からBEOLのルーティングトラックへの接続の両方を提供するために、相互に互い違いに配されている。電流は、第1の垂直積層型トランジスタ505a内を、例えば、(示されるように)左から右に水平方向に流れることができ、且つ第2の垂直積層型トランジスタ505b内を(示されるように)右から左に流れるように上向きに垂直に誘導され得る。続いて、電流は、第3の垂直積層型トランジスタ505c内を(示されるように)再び左から右に流れるように再び上向きに垂直に誘導され得る。全般的に、これにより、全体的に上向き垂直方向の電流の流れを生じさせる。垂直積層型ゲートセル500内により多数又は少数の垂直積層型トランジスタ505が企図されてもよく、全ての垂直積層型トランジスタ505を電気的に接続するように接続してもよいことは理解されるであろう。
特に、電流の流れは、各デッキが、誘電体膜の組み込みによって下の垂直積層型トランジスタ505から物理的に分離された別個の垂直積層型トランジスタ505である、フロア又はデッキを上昇することによって垂直積層型ゲートセル500内を上向きに進む。垂直積層型ゲートセル500において、垂直積層型トランジスタ505の各メタルゲートは、上のメタル層から入力を得るために別個の経路を有する。したがって、各垂直積層型トランジスタ505と別個のメタルトラックとの接続点が存在するように、ゲート電極は互い違い又は階段状に配される。このようにして、所与の垂直積層型ゲートセル500では、水平方向のCPPをシングルピッチに低減することができる。個々のメタルゲート接続をBEOLに適応させるために、スタンダードセルのトラック高さを増加させることができる。したがって、垂直積層型ゲートセル500は、例えば、完全に微細化されたCFETデバイスに対して面積微細化の50%の向上を直接的に提供することはできないものの、どれほどのCPP削減が行われるかとトラック高さの分岐点(ramification)がどこであるかとの間にはいくらかのトレードオフがあるため、CFETに比べてある程度の追加的な面積微細化を提供することができる。例えば、7nmノードの典型的なCPPは50nmになる場合があるが、同じデバイスのクリティカルメタルピッチは32nmのオーダーになる。したがって、セルのトラック高さを1クリティカルメタルピッチ延ばす必要性とのトレードオフによるシングルCPPの削減でも、かなりの面積が節約される。
一実施形態では、各垂直積層型トランジスタ505を互いに電気的に分離された状態に維持することが有利となり得る。これは、(1)チャネルへのhigh−kの選択的堆積、(2)チャネルとhigh−kとの間にhigh−k膜を介して酸素を送り込むことによる介在酸化物の形成、(3)オープン交換(opened replacement)high−kメタルゲート(HKMG)内の誘電体材料に対してではなく、チャネル上に予め選択的に堆積させていた導体材料上への直接的な、メタルライナー、バリア、及び仕事関数材料の選択的堆積によって達成することができる。
一実施形態では、ルテニウム又はタングステンなどの異方的にエッチングされた金属の組み込みが、HKMG構造内の金属充填として用いられ、それゆえ、「デッキ」の各ゲート「スタック」に切込みを直接形成することを可能にし、BEOLのメタル層からゲートへの独立アクセスを提供する互い違いゲートパターンの形成を可能にする。
一実施形態では、金属表面上への誘電体膜の直接的な選択的堆積を支持する能力を有するHKMGスタックに金属を組み込むことができる。これは、垂直積層型トランジスタ505間の静電容量を制御するために、任意の2つの積層された垂直積層型トランジスタ505間に、制御された量の誘電体分離を形成するのに有益であり得る。
一般的なCMOSロジックスタンダードセル設計は、水平方向のCPP又はゲートピッチが様々である。水平方向のCPPは、例えば、インバータの場合のAOIセルに対する3〜4つから、マルチプレクサ(MUX)及びフロップセルにおける12超までに及ぶ場合がある。例えば、12個のトランジスタを互いに重ね合わせて積層させると、個々のデバイス及びナノシートチャネルの集積化に使用され得る初期のフィン高さ(例えば、シリコン/シリコンゲルマニウムフィン)の観点において顕著な歪みが生じる可能性がある。この初期のフィン高さを増すと、フィンの湾曲、又は超格子内の意図した上部チャネル及び下部チャネルのサイズ間のばらつきに関連する製造上の懸念につながるおそれがあり、これらフィンの高さは、フィン高さ及びフィン幅に対する所望のアスペクト比の範囲内に全体的に含まれ得る。
一実施形態では、3つを超えるゲートを含むデバイスは、2CPP積層レイアウトを使用することができ、デバイスの積層高さは2又は3デッキに維持されるが、垂直積層型トランジスタ505の2つの異なるセットにわたって積層することができる。これは、異なるゲート数を有する複数のスタンダードセルの場合に、全体のレイアウトを同じデッキ高さに近い状態に維持する方法についても有効にする。
一実施形態では、東/西ルーティングの素子と北/南ルーティングの素子とを組み合わせることができる。スタンダードセル内で全てのトランジスタを互いに重ねて積層させる代わりに、所定の数のトランジスタを所定の数の垂直スタックへと垂直に積層させることができ、所定の数の垂直スタックのそれぞれは、所定のCPPピッチに関連付けることができる。図5Dは、本開示の一実施形態による、並列化垂直積層型ゲートセル598内の電流の流れを示す。一実施形態では、並列化垂直積層型ゲートセル598は、複数の垂直積層型ゲートセル500を含むことができ、垂直積層型ゲートセル500のそれぞれは、水平方向に沿って互いに隣接して配置されている。特に、並列化垂直積層型ゲートセル598内の電流の流れは依然として全体的に垂直方向に流れるが、垂直積層型ゲートセル500のセットにわたって水平方向にルーティングされてもよい。例えば、6つのトランジスタロジックセル(並列化垂直積層型ゲートセル598)は、2セットの垂直積層型ゲートセル500を使用することにより製作され得る。垂直積層型ゲートセル500の各セットは、3つの垂直積層型トランジスタ505を含み得る。このスタンダードセルは、最初の7CPP(隣接するスタンダードセル間の左側と右側の両方に1つのシングルディフュージョンブレイクを有する6つのトランジスタを含む)の「セル長」から、3CPP(隣接するセル間の左側と右側の両方に1つのシングルディフュージョンブレイクを有する3つの垂直積層型トランジスタ505の2つの垂直積層型ゲートセル500を含む)のセル長への大幅なCPP削減を行っている。
セル設計内に複数セットの垂直積層型トランジスタ505を有する利点としては、ソース/ドレインコンタクトのドレイン側からの信号出力がトランジスタの入力として使用される場合により容易な接続を可能にすること、並びにそのような信号のルーティングの実施が、信号ルーティング及び複雑さの観点において大幅に容易になることが挙げられる。この例では、下部デッキ又はフロアのドレイン側ソース/ドレインからの出力をメタライゼーション層510まで引き上げることができる。その後、出力は、メタライゼーション層510において、垂直積層型ゲートセル500のどちらかの内部の垂直積層型トランジスタ505のいずれかに、前記トランジスタ505に接続されたビアゲート構造を介して容易に送られ得る。ゆえに、デバイスの各デッキは、セル内において後に次のデッキまで運ばれる所定の機能を有するように設計され得る。2つを超えるセットを並列化垂直積層型ゲートセル598内に含めることができ、各垂直積層型ゲートセル500は3つよりも多い又は少ない垂直積層型トランジスタ505を含み得ることは理解されるであろう。例えば、3、100、又は1,000セットの垂直積層型ゲートセル500を互いに隣接させて配置することができる。例えば、2、10、又は100個の垂直積層型トランジスタ505を垂直積層型ゲートセル500内に含めることができる。
CMOSロジックは、特定の垂直積層型トランジスタ505の出力が他のいくつかのトランジスタの入力として使用され得る設計を組み込む。このような設計では、ソース/ドレイン出力から複数のソース/ドレインコンタクトの入力側への効率的な信号ルーティングが望まれる。並列化垂直積層型ゲートセル598内のセル間のルーティング輻輳を取り除くために、ルーティング輻輳の一部は、並列化垂直積層型ゲートセル598の複数のデッキを接続するビアが他のセル設計内のメタライゼーション層510のトラックと同様の機能を有し得る垂直積層型相互接続構造自体の内部にルーティング要素を組み込むことにより緩和され得る。図5Eは、並列化垂直積層型ゲートセル598内の混在した水平及び垂直方向の電流の流れを示す。一実施形態では、垂直積層型トランジスタ505の出力からの出力信号の、複数の入力へのルーティングは、デバイス内の相互接続構造の内部ルーティングによって実施され得る。デバイスの各デッキ内の信号の流れは、東西方向と西東方向の両方に移動することができる。例えば、信号出力がメタライゼーション層510にある出力トラックにルーティングされる必要がある時にはいつでも、ソース/ドレインコンタクトのドレイン側にある前記メタライゼーション層510のトラックまで信号を直接引き上げることができる。例えば、信号出力が垂直積層型トランジスタ505のいずれかの入力になる必要がある時にはいつでも、信号を、同様に、メタライゼーション層510のトラックまで引き上げ、その後、入力により機能するように構成されている垂直積層型トランジスタ505のいずれかに送ることができる。例えば、信号出力が複数のトランジスタを通過するように構成されている時にはいつでも、信号出力は、両トランジスタが配置されているデッキにルーティングすることができ、信号は、2つのトランジスタに送ることができる。
有利なことには、これにより、垂直積層型トランジスタ設計(即ち、並列化垂直積層型ゲートセル598)内に、スタンダードセル内の信号ルーティングのための複数の順列が存在することを可能とし、また、将来の電子設計自動化ツールが3次元セルレイアウトの観点から有することができる自由度の数のある程度の潜在的な向上を提供する。
特に、垂直積層型ゲートセル500は、「垂直FET」(VFET)デバイスとは異なる。VFETデバイスは共通チャネルを組み込む。共通チャネルは、垂直上向きに延び、垂直チャネルに対して垂直に延びるゲート電極及びソース電極及びドレイン電極を有する。このようなデバイスでは、ゲート電極及びソース電極及びドレイン電極への全ての接続を水平方向に行う必要があるため、ソース及びドレインコンタクト間に内部ルーティングを組み込むと問題になる。上記の垂直積層型ゲートセル500構造及び電流の流れによれば、内部ソース及びドレイン接続間の接続に垂直方向にアクセスすることができ、VFETと比較して大幅に大きなスケーラビリティを提供することができる。
VFETデバイスの制約は、これらのゲート電極及びソース電極及びドレイン電極への接続が困難であり、多くの場合、BEOLメタルまでの複数の接続点を提供するためには電極を非常に大きくする必要があるので、面積の利点が減少することである。垂直積層型ゲートセル500の利点は、垂直積層型トランジスタ505が依然として通常通りに動作することであり、ナノシート又はナノリングチャネルはゲート内に水平方向に延び、ソース電極及びドレイン電極は、下部フロア又はデッキの垂直積層型トランジスタ505のうちの1つの出力を上部フロア又はデッキの垂直積層型トランジスタ505の入力に容易に送ることができるように内部配線されている。
図6は、本開示の一実施形態による、CFETベースの積層されたNMOS及びPMOSアーキテクチャを有する垂直積層型ゲートセル600の概略図を示す。一実施形態では、垂直積層型ゲートセル500は、上述した要素の全てを組み込むことができ、CFETアーキテクチャのnFET/pFET積層概念も組み込むことができる。したがって、CFETベースの積層されたNMOS及びPMOSアーキテクチャを有する垂直積層型ゲートセル600がもたらされる。垂直積層型ゲートセル600は、垂直積層型トランジスタ605を含むことができ、垂直積層型トランジスタ605は、第1の垂直積層型トランジスタ605aと、第2の垂直積層型トランジスタ605bと、第3の垂直積層型トランジスタ605cとを含むことができ、第1の垂直積層型トランジスタ605aは、第2の垂直積層型トランジスタ605bの下に配置する(且つこれと実質的に又は部分的に垂直に整列する)ことができ、第2の垂直積層型トランジスタ605bは、第3の垂直積層型トランジスタ605cの下に配置する(且つこれと実質的に又は部分的に垂直に整列する)ことができる。垂直積層型トランジスタ605は、6つのソース及びドレイン電極を含むことができ、これらは全て、垂直積層型ゲートセル600内の内部での接続とソース及びドレイン電極からBEOLのルーティングトラックへの接続の両方を提供するために、相互に互い違いに配されている。これは、6デッキ設計の一例である。垂直積層型ゲートセル600の電流の流れは、ソース電極とドレイン電極との間の内部ビアルーティングを通って上のデッキに上昇する前に電流が各垂直積層型トランジスタ505内を横切る垂直積層型ゲートセル500の複数デッキレイアウトとなお合致している。
以下の図は、より良く理解するためのプロセスエミュレーションを示す。
図7は、本開示の一実施形態による、3デッキ垂直積層型ゲートセル700のVss720及びVdd725入力の側面図を示す。Vss入力720は、垂直積層型ゲートセル700の左側に配置することができ、第1のデッキ705a上の第1のNMOSソース/ドレイン(S/D)電極715aに供給することができる。その一方で、Vdd入力725は、垂直積層型ゲートセル700の右側に配置することができ、第1のデッキ705a上の第1のPMOSのS/D電極720aと第2のデッキ705b上の第2のPMOSのS/D電極720bとに供給することができ、第2のデッキ705bは第1のデッキ705aの上に重ねて配置することができ、第3のデッキ705cは第2のデッキ705bの上に重ねて配置することができる。垂直積層型ゲートセル700は、第2のNMOSのS/D電極715b、第3のNMOSのS/D電極715c、及び第3のPMOSのS/D電極720cも含むことができる。この図のデッキ705は、内部ビアの組み込みによって接続され得る又は内部ルーティングされ得るが、これを達成するために、複数のデッキ705をカバーする共通S/D電極を作成するなどの他の方法を使用することもできる。特に、nFETとpFETとの接続などの金属ルーティングによって典型的に行われるいくつかの機能は、2つの隣接するNMOS及びPMOSのS/Dコンタクト、例えば、第3のNMOSのS/D電極715c及び第3のPMOSのS/D電極720c(示されるような)を水平方向に短絡させることによって容易に行うことができ、このようにして、第1のメタル層735a内に配置され得るメタルトラック710への単一出力を提供する。
図8は、本開示の一実施形態による、3デッキ垂直積層型ゲートセル700のゲートの側面図を示す。第1の垂直積層型トランジスタ730aと、第2の垂直積層型トランジスタ730bと、第3の垂直積層型トランジスタ730cとを含む垂直積層型トランジスタ730は、垂直積層型ゲートセル700の各デッキ705を占めることができる。示されるように、垂直積層型トランジスタ730はNMOSとPMOSとの間で共通であり得るが、チャネル790を取り囲む仕事関数金属795は、物理的なNMOSゲートとPMOSゲートとの間で異なり得る。垂直積層型トランジスタ730は、第2のメタル層735bによって給電されることができ、第2のメタル層735bからの各接続は、各デッキ705上の垂直積層型トランジスタ730の互い違いを介して、個々の垂直積層型トランジスタ730に供給され、第2のメタル層735bから垂直積層型トランジスタ730の各入力へのランディング領域を有効にする。
図9は、本開示の一実施形態による、図7のVss720及びVdd725入力の側面図と反対側の、NMOSのS/D電極715及びPMOSのS/D電極720の図を示す。この図では、垂直積層型ゲートセル700は、第1のNMOSのS/D電極出力715a2、第2のNMOSのS/D電極出力715b2、第3のNMOSのS/D電極出力715c2、第1のPMOSのS/D電極出力720a2、第2のPMOSのS/D電極出力720b2、及び第3のPMOSのS/D電極出力720c2を含み得る。第1のデッキ705aに見られるように、PMOS(右)側の第1の垂直積層型トランジスタ730a内の第1のPMOSのS/D電極出力720a2は、メタルトラック710まで引き上げることができ、その一方で、NMOS(左)側の第1の垂直積層型トランジスタ730aの第1のNMOSのS/D電極出力715a2は、第2のデッキ705b上の第2の垂直積層型トランジスタ730bの第2のNMOSのS/D電極出力715b2、及び第3のデッキ705c上の第3の垂直積層型トランジスタ730cの第3のNMOSのS/D電極出力715c2まで引き上げることができる。したがって、第1のNMOSのS/D電極出力715a2は、第2の垂直積層型トランジスタ730b及び第3の垂直積層型トランジスタ730cの入力ソースとして使用することができる。
別の実施形態では、NMOS並びにPMOSのソース領域及びドレイン領域もCFETのように互いに重ね合わせて積層した垂直積層型ゲートセル700を構成することができる。この構成により、3つではなく6つのデッキ705が存在するデバイスが得られ、このデバイスでは、共通ゲートが2つの隣接するデッキ705を占めることができる一方で、デッキ705はS/D電極によって決定され得る。
したがって、記載されている手法は、スタンダードセルの東/西又は水平方向面積をより少数のCPP(コンタクテッドポリピッチ)へと促すために、複数の個々のゲートを互いに重ね合わせて積層することにより面積微細化を促すことができる。
上述の実施形態の利点を以下の通り記載する。
所与のデバイスの面積は、ゲートを互いに垂直方向に重ね合わせて積層した構成によってデバイスが必要とするCPPの数を削減することにより東/西又は水平方向に微細化することができる。したがって、ゲートの積層により、デバイス内のトランジスタの数は一定のままであり、スタンダードセルのサイズの利点を実現する。
各ゲート及びソース及びドレイン電極は、デバイス全体の別個の「フロア」又は「デッキ」としての役割を果たすことができる。デバイス内を流れる電流は、(a)複数のデッキにわたってソース及びドレインコンタクトを接続することができる内部ビア、又は(b)2つの別個のデッキのソース及びドレイン電極を単一のマージ電極へと物理的にマージさせることのいずれかによって、デバイスの上昇「フロア」又は「デッキ」内において上向きにルーティングされる。したがって、金属相互接続によるバックエンドオブライン(BEOL)内のルーティングによって典型的に行われることを、ビアの組み込み又はマージ若しくはショートさせたソース及びドレインコンタクトによって内部で行うことができる。
ゲートは、各ゲートへの接続を任意のBEOLメタル層から明確に行うことができるようなサイズにすることができ、且つそのためにデバイス内で相互に互い違いに配することができる。
所与のデバイスの上昇デッキを昇る内部ルーティングを迂回するために、デバイス内の任意のメタルトラックに引き上げるためのアクセスをいつでも提供するという意図で、ソース電極とドレイン電極も相互に互い違いに配することができる。
デバイス内の電流の流れは全般的に上向きであり、この場合、供給は、埋め込みパワーレールから又はBEOL内のパワーレールから来ることができ、供給は、デバイスの下部デッキのソース及びドレイン電極に進む。ここから、電流はトランジスタを通過して、隣り合うソース及びドレイン電極に供給され、ここで、出力は、金属ルーティングトラックに又はデバイスの次の「デッキ」(この場合、下部デッキからの出力が上部デッキの入力としての役割を果たすことができる)のいずれかに上向きに送られ得る。電流は、このデッキ上のトランジスタを通過し、その後、次のソース及びドレイン電極に送られ、その後再び、金属ルーティングトラックに送られるか次のデッキ/トランジスタの入来供給として供給されるかのいずれかである。
本明細書中の実施形態は、スタンダードセルの東/西方向の面積微細化の観点からシングルCPP(コンタクテッドゲート/ポリピッチ)を含み得る。複数のゲートが使用されているが、ゲートは、小面積サイズを促すために、互いに重ね合わせて積層されている。
ゲートの複数積層に対応するために、北/南又は垂直方向のセル高さを増加させて、積層ゲートへの互い違い接続を可能にすることができる。CPPは、クリティカルメタルピッチに比べるとはるかに大きくなり得る。したがって、1つのCPPの変化は、多くの場合、物理的サイズの観点において、トラックセル高さの任意の変化よりも約25%〜50%大きくなる可能性がある。例えば、1つのCPP削減は、例えば42nmにもなり得る。しかし、これを達成するためには、例えば24nmのオーダーであり得る追加的なトラックピッチをスタンダードセルに付加する必要があり得る。しがたって、この例の場合において実際に得られるのは、例えば、18nmであり得る。
3つを超えるゲートを含むデバイスにおいては、2CPP積層レイアウトを使用することが可能であり、デバイスの積層高さは、2又は3デッキに維持されるが、これは、積層ゲートの2つの異なるセットにわたって行われる。これは、異なるゲート数を有する複数のスタンダードセルの場合に、全体のレイアウトを同じデッキ高さに近い状態に維持する方法についても有効にする。
NMOSチャネルとPMOSチャネルは、CMOSにおいて一般的なように、このデバイス内で相互に並列に延びることができる。そのため、例示的なAOIセル(前述した)は、3デッキデバイスを含み得る。或いは、NMOSチャネル及びPMOSチャネル自体をコンプリメンタリ(complimentary)FET(CFET)デバイス内に互いに重ね合わせて積層することができ、これにより、このデバイス方法を6デッキデバイスにする。
このデバイスの概念は、スタンダードセルオンスタンダードセル又はチップオンチップ3次元デバイスを可能にすることができる。なぜなら、上方の微細化経路(scaling path)を垂直方向に限定する必要がなく、セル間のいくつかのルーティングを、相互に垂直に重ねて積層される2つのセルの出力間に内部でなお作成できるからである。
記載される実施形態では、全てのゲートは、NMOSチャネル及びPMOSチャネルがデバイスの各デッキ内の同じゲート構造を通過する共通ゲートであり得るが、各共通ゲート内において、チャネル上に堆積させる仕事関数金属は、チャネルに固有のものである(NMOS金属はNMOSチャネル上に堆積され、PMOS金属はPMOSチャネル上に堆積される)。或いは、NMOSゲートのみをNMOSチャネルのために使用することができるように、且つ個々のPMOSゲートをPMOSチャネルのために使用することができるように、スプリットゲートを組み込むことができる。この実施形態では、NMOSゲート電極とPMOSゲート電極は、ゲート電極の1つ若しくは両方の上への直接堆積、又は被覆されていない/被覆されたゲート電極への選択的堆積法によるいずれかであり得る誘電体膜の形成によって、互いに物理的及び電気的に隔離され得る。
前述の説明では、処理システムの特定の形状並びにそこで使用される様々な構成要素及びプロセスの説明など、特定の詳細を説明してきた。しかしながら、本明細書における技術は、これらの特定の詳細から逸脱する他の実施形態で実施することができ、そのような詳細は、説明のためのものであり、限定のためのものではないことを理解されたい。本明細書で開示される実施形態について、添付の図面を参照して記載してきた。同様に、説明の目的で、詳細な理解を提供するために特定の数字、材料、及び構成について述べてきた。しかしながら、実施形態は、そのような具体的詳細がなくても実施可能である。実質的に同じ機能的構成を有する構成要素は、同様の参照符号によって示され、したがって、冗長な説明は省略される場合がある。
様々な実施形態の理解を支援するために、様々な技術を複数の個別の動作として説明してきた。説明の順序は、これらの動作が必ず順序に依存することを意味すると解釈されるべきではない。実際に、これらの動作は、提示した順序で実行される必要はない。説明された動作は、説明された実施形態と異なる順序で実行されてもよい。追加の実施形態では、様々な追加の動作を実行することができ、且つ/又は説明した動作を省略することができる。
本明細書で使用される「基板」又は「ターゲット基板」は、本発明に従って処理される物体を総称して指す。基板は、デバイス、特に半導体又は他の電子デバイスの任意の材料部分又は構造を含むことがあり、例えば半導体ウェハ、レチクルなどのベース基板構造、又は薄膜などのベース基板構造上の若しくはそれに重なる層であり得る。したがって、基板は、いかなる特定のベース構造、下層又は上層、パターン付き又はパターンなしにも限定されず、むしろ任意のそのような層若しくはベース構造並びに層及び/又はベース構造の任意の組み合わせを含むことが企図されている。本明細書では、特定の種類の基板を参照している場合があるが、これは単に例示を目的としたものである。
また、当業者であれば、本発明の同じ目的を達成しながらも、上記で説明した技術の動作に対してなされる多くの変形形態が存在し得ることを理解するであろう。そのような変形形態は、本開示の範囲に包含されることが意図されている。したがって、本発明の実施形態の前述の説明は、限定することを意図したものではない。むしろ、本発明の実施形態に対する限定は、以下の特許請求の範囲に提示される。

Claims (20)

  1. 表面を有する基板であって、前記表面は平坦である、基板と、
    前記基板上に設けられ、第1のチャネルと第1のソース−ドレイン領域対とを有する第1の電界効果トランジスタ(FET)を含む第1のロジックゲートと、
    前記基板の前記表面に対して垂直な垂直方向に沿って前記第1のロジックゲート上に積層された第2のロジックゲートであって、第2のチャネルと第2のソース−ドレイン領域対とを有する第2のFETを含む、第2のロジックゲートと、
    前記第1のロジックゲートと前記第2のロジックゲートとの間を流れる電流の少なくとも一部が前記垂直方向に沿って流れるように、前記第1のFETのソース−ドレイン領域を前記第2のFETのソース−ドレイン領域に電気的に接続するコンタクトと、
    を含む、半導体デバイス。
  2. 前記第1のロジックゲートは、前記第1のFETを含む第1の相補型FETセットを含み、
    前記第2のロジックゲートは、前記第2のFETを含む第2の相補型FETセットを含む、
    請求項1に記載の半導体デバイス。
  3. 前記第1の相補型FETセット及び前記第2の相補型FETセットのうちの少なくとも1つは、前記基板の前記表面に沿って水平方向に互いに間隔をあけて配置された水平方向配置相補型FETを含む、請求項2に記載の半導体デバイス。
  4. 前記第1の相補型FETセット及び前記第2の相補型FETセットのうちの少なくとも1つは、前記垂直方向に沿って間隔をあけて配置された積層相補型FETを含む、請求項2に記載の半導体デバイス。
  5. 前記コンタクトは、前記垂直方向に沿って延びる導電ビアを含む、請求項1に記載の半導体デバイス。
  6. 前記コンタクトは、前記第1のFETの前記ソース−ドレイン領域から前記第2のFETの前記ソース−ドレイン領域に延びる共通電極を含む、請求項1に記載の半導体デバイス。
  7. 前記第1のロジックゲート及び前記第2のロジックゲートに電流を供給するように構成されたパワーレールを更に含み、前記パワーレールは、前記垂直方向に沿って前記第2のロジックゲートの上に配置されたバックエンドオブライン(BEOL)パワーレールを含む、請求項1に記載の半導体デバイス。
  8. 前記第1のロジックゲート及び前記第2のロジックゲートに電流を供給するように構成されたパワーレールを更に含み、前記パワーレールは、前記垂直方向に沿って前記第1のロジックゲートの下に配置された埋め込みパワーレールを含む、請求項1に記載の半導体デバイス。
  9. 前記第1のロジックゲートの側方に隣接して前記基板上に設けられ、第3のチャネルと第3のソース−ドレイン領域対とを有する第3のFETを含む第3のロジックゲートと、
    前記基板の前記表面に対して垂直な前記垂直方向に沿って前記第3のロジックゲート上に積層された第4のロジックゲートであって、第4のチャネルと第4のソース−ドレイン領域対とを有する第4のFETを含む、第4のロジックゲートと、
    前記第3のロジックゲートと前記第4のロジックゲートとの間を流れる電流の少なくとも一部が前記垂直方向に沿って流れるように、前記第3のFETのソース−ドレイン領域を前記第4のFETのソース−ドレイン領域に電気的に接続する第2のコンタクトと、
    前記第1のロジックゲートと前記第3のロジックゲートとの間を流れる電流の少なくとも一部が水平方向に沿って流れるように、前記第1のFETの前記ソース−ドレイン領域を前記第3のFETの前記ソース−ドレイン領域に電気的に接続する第3のコンタクトと、
    を更に含む、請求項1に記載の半導体デバイス。
  10. 前記垂直方向に沿って前記第2のロジックゲート上に積層された第3のロジックゲートであって、第3のチャネルと第3のソース−ドレイン領域対とを有する第3のFETを含む、第3のロジックゲートと、
    前記第2のロジックゲートと前記第3のロジックゲートとの間を流れる電流の少なくとも一部が前記垂直方向に沿って流れるように、前記第2のFETの前記ソース−ドレイン領域を前記第3のFETのソース−ドレイン領域に電気的に接続する別のコンタクトと、
    を更に含む、請求項1に記載の半導体デバイス。
  11. 表面を有する基板であって、前記表面は平坦である、基板と、
    前記基板の前記表面に対して垂直な垂直方向に沿って互いに積層された複数のロジックゲートと、
    前記垂直方向に沿って延びており、前記複数のロジックゲート間を流れる電流の少なくとも一部が前記垂直方向に沿って流れるように、前記複数のロジックゲートのうちの1つの出力を前記複数のロジックゲートのうちの別の1つの入力に電気的に接続するコンタクトと、
    を含む、組み合わせロジックセル。
  12. 前記複数のロジックゲートは、前記基板の前記表面に沿った第1の方向において、単一のコンタクテッドゲートピッチを占める、請求項11に記載の組み合わせロジックセル。
  13. 前記複数のロジックゲートは、前記基板の前記表面に沿った、前記第1の方向に平行でない第2の方向において、1つより多いクリティカルメタルピッチを占める、請求項12に記載の組み合わせロジックセル。
  14. 前記複数のロジックゲートは、前記垂直方向に沿って互いに積層された3つのロジックゲートを含み、
    前記コンタクトは、前記複数のロジックゲートをAND−OR−Invert(AOI)セルの構成で電気的に相互接続するように構成された複数のコンタクトを含む、
    請求項12に記載の組み合わせロジックセル。
  15. 基板と、
    第1のデッキ内の前記基板上に設けられ、第1のチャネルと、第1のゲート構造と、第1のソース電極と、第1のドレイン電極とを含む、第1の電界効果トランジスタ(FET)と、
    前記基板の平面に対して垂直に、第2のデッキ内の前記第1のFETの上に重ねて垂直に積層され、第1のチャネルと、第1のゲート構造と、第1のソース電極と、第1のドレイン電極とを含む、第2のFETと、
    前記第1のFETの前記第1のソース電極又は前記第1のドレイン電極に電気的に接続された電流源と、
    を含み、
    前記電流源によって供給される電流は、前記第1のデッキ内の前記第1のFETを通って流れ、
    前記第1のFETの前記第1のソース電極又は前記第1のFETの前記第1のドレイン電極を出る電流は、前記第2のデッキへと上向きに垂直に、前記第2のFETの前記第1のソース電極又は前記第2のFETの前記第1のドレイン電極へと流れる、
    半導体デバイス。
  16. 追加のFETを更に含み、各追加のFETは、追加のデッキ内に互いに重ね合わせて積層されている、請求項15に記載のデバイス。
  17. 前記第1のFETは、第1の相補型FET(CFET)の一部であり、前記第2のFETは、第2のCFETの一部であり、
    前記第1のCFETは、第2のチャネルと、第2のゲート構造と、第2のソース電極と、第2のドレイン電極とを含み、
    前記第2のCFETは、第2のチャネルと、第2のゲート構造と、第2のソース電極と、第2のドレイン電極とを含み、
    前記第1のCFETの第1のチャネルは、NMOSチャネルを提供し、前記第1のCFETの前記第2のチャネルは、PMOSチャネルを提供し、
    前記第2のCFETの第1のチャネルは、NMOSチャネルを提供し、前記第2のCFETの前記第2のチャネルは、PMOSチャネルを提供する、
    請求項15に記載のデバイス。
  18. 前記第1のCFETの前記第2のチャネルは、前記第1のCFETの前記第1のチャネルの側方に隣接して配置されており、
    前記第2のCFETの前記第2のチャネルは、前記第2のCFETの前記第1のチャネルの側方に隣接して配置されている、
    請求項17に記載のデバイス。
  19. 前記第1のCFETの前記第2のチャネルは、前記第1のCFETの前記第1のチャネルの上に垂直に重ねて配置されており、
    前記第2のCFETの前記第2のチャネルは、前記第2のCFETの前記第1のチャネルの上に垂直に重ねて配置されている、
    請求項17に記載のデバイス。
  20. 前記第2のゲート構造は、前記基板の前記平面に対して垂直に、前記第1のゲート構造の上に重ねて垂直に積層されており、
    前記第1のゲート構造及び前記第2のゲート構造は、前記基板の前記平面に沿って水平方向に相互に互い違いに配されたゲート電極を含む、
    請求項19に記載のデバイス。
JP2021512578A 2018-09-05 2019-09-05 3次元ロジック機構内に積層型トランジスタを組み込んだロジックセルを垂直方向にルーティングする方法 Pending JP2021535617A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862727096P 2018-09-05 2018-09-05
US62/727,096 2018-09-05
PCT/US2019/049687 WO2020051292A1 (en) 2018-09-05 2019-09-05 Method to vertically route a logic cell incorporating stacked transistors in a three dimensional logic device

Publications (1)

Publication Number Publication Date
JP2021535617A true JP2021535617A (ja) 2021-12-16

Family

ID=69640065

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021512578A Pending JP2021535617A (ja) 2018-09-05 2019-09-05 3次元ロジック機構内に積層型トランジスタを組み込んだロジックセルを垂直方向にルーティングする方法

Country Status (6)

Country Link
US (1) US11616053B2 (ja)
JP (1) JP2021535617A (ja)
KR (1) KR20210041110A (ja)
CN (1) CN112689896A (ja)
TW (1) TW202025444A (ja)
WO (1) WO2020051292A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220102362A1 (en) * 2020-09-30 2022-03-31 Tokyo Electron Limited Cfet sram bit cell with two stacked device decks

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11495540B2 (en) * 2019-10-22 2022-11-08 Tokyo Electron Limited Semiconductor apparatus having stacked devices and method of manufacture thereof
DE102020125647A1 (de) * 2020-01-31 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung mit Komplementärfeldeffekttransistor des Typs mit vergrabenenen Logikleitern, Layout-Diagramm-Herstellungsverfahren und System dafür
US11362090B2 (en) * 2020-01-31 2022-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having buried logic conductor type of complementary field effect transistor, method of generating layout diagram and system for same
US11469321B2 (en) * 2020-02-27 2022-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11532627B2 (en) * 2020-05-22 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain contact structure
US11862701B2 (en) * 2020-07-31 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked multi-gate structure and methods of fabricating the same
US20220068921A1 (en) * 2020-09-01 2022-03-03 Tokyo Electron Limited Power wall integration for multiple stacked devices
US11670677B2 (en) 2020-10-02 2023-06-06 Samsung Electronics Co., Ltd. Crossing multi-stack nanosheet structure and method of manufacturing the same
US11437369B2 (en) 2020-10-02 2022-09-06 Samsung Electronics Co., Ltd Array of multi-stack nanosheet structures
WO2022094041A1 (en) * 2020-10-30 2022-05-05 Tokyo Electron Limited High precision 3d metal stacking for a plurality of 3d devices
KR20220068283A (ko) * 2020-11-18 2022-05-26 삼성전자주식회사 반도체 소자
US11532708B2 (en) * 2020-12-04 2022-12-20 Tokyo Electron Limited Stacked three-dimensional field-effect transistors
US11527614B2 (en) * 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
CN113098493B (zh) * 2021-04-01 2023-05-30 长鑫存储技术有限公司 逻辑门电路结构
US11843001B2 (en) 2021-05-14 2023-12-12 Samsung Electronics Co., Ltd. Devices including stacked nanosheet transistors
US11710768B2 (en) 2021-05-26 2023-07-25 International Business Machines Corporation Hybrid diffusion break with EUV gate patterning
US11984401B2 (en) 2021-06-22 2024-05-14 International Business Machines Corporation Stacked FET integration with BSPDN
US11916073B2 (en) 2021-08-03 2024-02-27 International Business Machines Corporation Stacked complementary field effect transistors
US11710699B2 (en) 2021-09-01 2023-07-25 International Business Machines Corporation Complementary FET (CFET) buried sidewall contact with spacer foot
US11777034B2 (en) 2021-09-07 2023-10-03 International Business Machines Corporation Hybrid complementary field effect transistor device
US11764298B2 (en) 2021-09-17 2023-09-19 International Business Machines Corporation VTFET with buried power rails
US20230101678A1 (en) * 2021-09-24 2023-03-30 International Business Machines Corporation Ultra-short-height standard cell architecture
EP4191653A1 (en) * 2021-12-02 2023-06-07 Imec VZW A complementary field-effect transistor device
CN117374075A (zh) * 2022-07-05 2024-01-09 北京理工大学 横向型全栅晶体管、三维集成电路、及其制造方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006049914A (ja) * 2004-08-04 2006-02-16 Samsung Electronics Co Ltd 半導体装置並びにその配置及び製造方法
US20130001701A1 (en) * 2010-04-09 2013-01-03 International Business Machines Corporation Vertical Stacking of Field Effect Transistor Structures for Logic Gates
US20180122846A1 (en) * 2016-09-26 2018-05-03 Stmicroelectronics (Crolles 2) Sas Trench between stacked semiconductor substrates making contact with source-drain region

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0824146B2 (ja) * 1989-10-19 1996-03-06 株式会社東芝 Mos型集積回路
JP3884266B2 (ja) * 2001-02-19 2007-02-21 株式会社東芝 半導体メモリ装置及びその製造方法
US7489164B2 (en) * 2004-05-17 2009-02-10 Raminda Udaya Madurawe Multi-port memory devices
KR100575002B1 (ko) * 2004-12-16 2006-05-02 삼성전자주식회사 공통 게이트를 구비하는 상보형 금속 산화물 반도체 박막트랜지스터, 이를 포함하는 논리소자 및 그 트랜지스터의제조 방법
US20090224330A1 (en) 2005-07-28 2009-09-10 Hong Chang Min Semiconductor Memory Device and Method for Arranging and Manufacturing the Same
US7978561B2 (en) 2005-07-28 2011-07-12 Samsung Electronics Co., Ltd. Semiconductor memory devices having vertically-stacked transistors therein
CN100593751C (zh) * 2008-05-16 2010-03-10 天津力伟创科技有限公司 Lcos芯片像素器件结构及其制备方法
CN102742159B (zh) * 2009-10-14 2016-04-27 科欧罗基克斯有限公司 具有可变电路拓扑的高利用率通用逻辑阵列和利用恒定功率特征实现各种逻辑门的逻辑映射电路
JP2011165966A (ja) * 2010-02-10 2011-08-25 Renesas Electronics Corp 半導体装置および半導体装置の製造方法
US20110298052A1 (en) * 2010-06-03 2011-12-08 International Business Machines Corporation Vertical Stacking of Field Effect Transistor Structures for Logic Gates
CN102468221B (zh) * 2010-11-11 2014-10-22 中国科学院微电子研究所 采用后栅工艺制备cmos器件中接触孔的方法
CN102832221B (zh) * 2011-06-16 2016-10-26 三星电子株式会社 具有竖直装置和非竖直装置的半导体装置及其形成方法
US8461877B2 (en) * 2011-07-01 2013-06-11 Advanced Micro Devices, Inc. CMOS circuit with dynamic parasitic net pulldown circuit
US9601203B2 (en) * 2012-06-09 2017-03-21 Synopsys, Inc. Floating gate non-volatile memory bit cell
DE112013007061T5 (de) * 2013-06-25 2016-01-28 Intel Corp. Monolithische dreidimensionale (3D) ICS mit örtlichen ebenenübergreifenden Zwischenverbindungen
US9035686B1 (en) * 2013-10-31 2015-05-19 Intel Corporation Apparatus and method for low power fully-interruptible latches and master-slave flip-flops
US9524920B2 (en) * 2013-11-12 2016-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Apparatus and method of three dimensional conductive lines
US9299391B2 (en) * 2014-01-21 2016-03-29 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional wordline sharing memory
US9472551B2 (en) 2015-02-13 2016-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical CMOS structure and method
US10283171B2 (en) * 2015-03-30 2019-05-07 Taiwan Semicondutor Manufacturing Company, Ltd. Stacked die semiconductor device with separate bit line and bit line bar interconnect structures
US9966416B2 (en) 2016-05-03 2018-05-08 Tsinghua Univeristy Three dimensional complementary metal oxide semiconductor carbon nanotube thin film transistor circuit
EP3244449A1 (en) * 2016-05-13 2017-11-15 NXP USA, Inc. Integrated circuit with spare cells
US9941200B1 (en) 2016-09-26 2018-04-10 Stmicroelectronics (Crolles 2) Sas Contact trench between stacked semiconductor substrates
KR101905717B1 (ko) * 2017-03-02 2018-11-21 포항공과대학교 산학협력단 삼차원 적층구조의 듀얼 게이트 박막 트랜지스터 논리 회로
US20200388309A1 (en) * 2019-06-07 2020-12-10 Arm Limited Bitline Precharge Circuitry

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006049914A (ja) * 2004-08-04 2006-02-16 Samsung Electronics Co Ltd 半導体装置並びにその配置及び製造方法
US20130001701A1 (en) * 2010-04-09 2013-01-03 International Business Machines Corporation Vertical Stacking of Field Effect Transistor Structures for Logic Gates
US20180122846A1 (en) * 2016-09-26 2018-05-03 Stmicroelectronics (Crolles 2) Sas Trench between stacked semiconductor substrates making contact with source-drain region

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220102362A1 (en) * 2020-09-30 2022-03-31 Tokyo Electron Limited Cfet sram bit cell with two stacked device decks
US11665878B2 (en) * 2020-09-30 2023-05-30 Tokyo Electron Limited CFET SRAM bit cell with two stacked device decks

Also Published As

Publication number Publication date
US20200075574A1 (en) 2020-03-05
TW202025444A (zh) 2020-07-01
KR20210041110A (ko) 2021-04-14
CN112689896A (zh) 2021-04-20
WO2020051292A1 (en) 2020-03-12
US11616053B2 (en) 2023-03-28

Similar Documents

Publication Publication Date Title
JP2021535617A (ja) 3次元ロジック機構内に積層型トランジスタを組み込んだロジックセルを垂直方向にルーティングする方法
US11201148B2 (en) Architecture for monolithic 3D integration of semiconductor devices
US11114381B2 (en) Power distribution network for 3D logic and memory
US11901360B2 (en) Architecture design and process for manufacturing monolithically integrated 3D CMOS logic and memory
JP7486058B2 (ja) 後面電力供給における交換用埋設電力レール
US11437376B2 (en) Compact 3D stacked-CFET architecture for complex logic cells
US11450671B2 (en) Semiconductor apparatus having stacked devices and method of manufacture thereof
TW202236592A (zh) 用以將埋入式電力軌連接至前側電力分配網路的電力連接器直通道
TW202121650A (zh) 針對高效率3d集成相關應用資料的高度規則邏輯設計
US20220068921A1 (en) Power wall integration for multiple stacked devices
US20220181300A1 (en) Multi-tier backside power delivery network for dense gate-on-gate 3d logic
JP2022543413A (ja) 3dトランジスタデバイスのためのディフュージョンブレーク、ゲートカット、並びに独立したnゲート及びpゲートの同時形成のための装置及び方法
US20220367461A1 (en) Inverted cross-couple for top-tier fet for multi-tier gate-on-gate 3di
KR20230078636A (ko) 다수의 적층된 소자 구조물에서 매설 상호 연결부로부터 소자 단자로의 연결

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220728

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20231003

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231226

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20240326