JP2021527955A - 被加工材の露光後ベーク処理のための方法および装置 - Google Patents

被加工材の露光後ベーク処理のための方法および装置 Download PDF

Info

Publication number
JP2021527955A
JP2021527955A JP2020569815A JP2020569815A JP2021527955A JP 2021527955 A JP2021527955 A JP 2021527955A JP 2020569815 A JP2020569815 A JP 2020569815A JP 2020569815 A JP2020569815 A JP 2020569815A JP 2021527955 A JP2021527955 A JP 2021527955A
Authority
JP
Japan
Prior art keywords
fluid
post
exposure bake
work material
heat source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020569815A
Other languages
English (en)
Other versions
JP7086232B2 (ja
Inventor
エックス. ヤン マイケル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Beijing E Town Semiconductor Technology Co Ltd, Mattson Technology Inc filed Critical Beijing E Town Semiconductor Technology Co Ltd
Publication of JP2021527955A publication Critical patent/JP2021527955A/ja
Application granted granted Critical
Publication of JP7086232B2 publication Critical patent/JP7086232B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing
    • G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

露光後ベーク方法を提供する。一実施例では、方法は、処理チャンバ内に配置された被加工材支持部上に、フォトレジストの層を有する被加工材を配置することを含む。方法は、フォトレジストを、フォトマスクを通して所定の波長の光子に露光させることを含む。方法は、フォトレジストの加熱層を有する被加工材に対し、露光後ベーク加熱プロセスを行うことを含む。露光後ベーク加熱プロセスは、放射熱源と被加工材支持部内に配置された第2の熱源との双方により、被加工材の温度が露光後ベーク設定温度に達するまで、被加工材を加熱することを含みうる。

Description

優先権主張
本出願は、“Methods and Apparatus for Post Exposure Bake Processing of a Workpiece”なるタイトルにて2018年9月24日付提出の米国仮出願第62/735244号の優先権の利益を主張し、この出願は引用によって本明細書に組み込まれるものとする。本出願は、“Methods and Apparatus for Post Exposure Bake Processing of a Workpiece”なるタイトルにて2018年6月15日付提出の米国仮出願第62/685608号の優先権の利益を主張し、この出願は引用によって本明細書に組み込まれるものとする。
分野
本開示は、概して、例えば半導体処理に関連するリソグラフィプロセス中の、被加工材の露光後ベーク処理のための方法に関する。
背景
半導体処理では、デバイスの作製は、幾つかのリソグラフィステップを含むことができる。また、被加工材の臨界寸法(CD)は縮小し続けており、深紫外および極紫外(EUV)のリソグラフィが多くの先進デバイスの製造および開発の主流となっている。多くの先進フォトリソグラフィの手法(例えば深紫外および極紫外)が、化学強化レジスト(CAR)を採用している。CARのための化学強化は、露光に対して化学的に安定な触媒化合物の生成を基礎とする。後続の露光後ベーク(PEB)プロセス中、光生成触媒が連鎖反応を開始することができ(例えば1つの光化学変換が幾つかの化学反応を生じさせることができ)、フォトレジストの感応性の大幅な改善が得られる。
先進リソグラフィの用途では、PEB設定温度に達するまでに必要な時間が、CAR層での酸拡散長さの制御における臨界パラメータとなりうる。PEBプロセスにおける加熱時間の削減により、CD制御、例えばライン‐エッジ‐ラフネス(LER)の制御を改善することができる。従来の抵抗ヒータブロックの設計は、高い熱伝導性の材料を含むヒータベース内に埋め込まれた抵抗加熱要素を含みうる。従来の抵抗ヒータブロックの設計は、先進リソグラフィのPEBプロセスに対して幾つかの制限を有しうる。ヒータベース材料の低いサーマルマスにより、高温のヒータブロック面上に低温の被加工材を配置した後、ヒータブロック温度に数度までの初期温度の低下が生じうるのである。さらに、従来のヒータブロックの制御は、抵抗加熱要素のパワー入力のためにダイナミックな温度制御アルゴリズムを採用しており、このことによって迅速な応答の要求と精細な制御との間の平衡化が必要となっている。結果として、従来のヒータブロックの応答により、PEB設定温度のオーバーシュートがない場合、低温の被加工材を配置した後、初期ヒータブロック温度の低下の補正が緩慢となることがある。また、被加工材とヒータブロックとの間の物理的な接触のみでは、被加工材が抵抗ヒータブロックとの熱平衡に達するまでに時間がかかることがある。結果として、PEBプロセス用の従来の抵抗ヒータ技術では、要求される設定温度まで、比較的長い時間フレーム(例えば15秒超)をかけないと被加工材を加熱できない。CAR活性化のための設定までの被加工材温度の緩慢な上昇は、PEBツールのスループットを制限しうるだけでなく、先進リソグラフィにおけるLERラフネス制御を含めたCD制御の劣化ももたらしうる。
概要
本開示の実施形態の態様および利点を以下の説明の一部として記載するが、または説明から、または実施形態の実施によって、教説を得ることができる。
本開示の一実施例としての態様は、被加工材を処理する方法に関する。方法は、処理チャンバ内に配置された被加工材支持部上に、フォトレジストの層を有する被加工材を配置することを含む。方法は、フォトレジストを、フォトマスクを通して所定の波長の光子に露光させることを含む。方法は、フォトレジストの加熱層を有する被加工材に対し、露光後ベーク加熱プロセスを行うことを含む。露光後ベーク加熱プロセスは、放射熱源と被加工材支持部内に配置された第2の熱源との双方により、被加工材の温度が露光後ベーク設定温度に達するまで、被加工材を加熱することを含む。
本開示の別の実施例としての態様は、被加工材を処理する方法に関する。方法は、被加工材のフォトレジストの層を、フォトマスクを通して所定の波長の光子に露光させることを含む。方法は、処理チャンバ内に配置された被加工材支持部上に、化学強化レジスト層を有する被加工材を配置することを含む。被加工材支持部は、1つもしくは複数の流体ベース加熱要素を含む。方法は、被加工材支持部上の被加工材の化学強化レジスト層に対し、露光後ベーク設定温度で、露光後ベーク加熱プロセスを行うことを含む。
種々の実施形態の当該および他の特徴、態様および利点は、以下の説明および添付の特許請求の範囲を参照することでより良く理解されるであろう。添付の図面は本明細書に組み込まれてその一部を成し、本開示の実施形態を図示するものであって、説明と併せて、関連する基本方式の説明に用いられる。
当業者に向けた実施形態の詳細な論述を、明細書において、添付の図を参照しながら説明する。
本開示の例示的な実施形態による被加工材処理装置の一実施例を示す図である。 本開示の例示的な実施形態による方法の一実施例を示すフローチャートである。 本開示の例示的な実施形態による、流体ベース露光後ベークプロセス中の被加工材支持部温度を示すグラフ表現である。 本開示の例示的な実施形態による被加工材処理装置の一実施例を示す図である。 本開示の例示的な実施形態による、一実施例のフィルタを通した種々の波長の放射の透過を示すグラフ表現である。 本開示の例示的な実施形態による方法の一実施例を示すフローチャートである。 本開示の例示的な実施形態による、ハイブリッド露光後ベークプロセス中の被加工材温度を示すグラフ表現である。 本開示の例示的な実施形態による被加工材処理装置の一実施例を示す図である。
詳細な説明
以下に、実施形態、すなわち図面に示した1つもしくは複数の実施例を詳細に説明する。各実施例は実施形態の説明のために設けたものであって、本開示を限定するものではない。実際に、当業者には、本開示の範囲および思想から逸脱することなく、実施形態に対して種々の修正および変更が行われうることは明らかであろう。例えば、1つの実施形態の一部として図示または説明する特徴は、別の実施形態と共に使用して、さらに別の実施形態を生じさせることができる。このように、本開示の態様がこうした修正および変更をカバーすることが意図されている。
本開示の例示的な態様は、フォトレジストの層、例えば化学強化レジスト(CAR)層を有する被加工材のための露光後ベーク(PEB)プロセスを実現する方法に関する。幾つかの実施形態では、PEBプロセスは、半導体デバイス作製プロセスにおけるリソグラフィプロセスの一部であってよい。
幾つかの実施形態では、方法は、CAR層を有する被加工材のためのPEBプロセスを実現する、被加工材支持部と組み合わされた流体ヒータを実現することを含みうる。例えば、被加工材を処理する方法は、処理チャンバ内の被加工材支持部上に被加工材を配置することを含みうる。被加工材支持部は、1つもしくは複数の流体加熱要素を含みうる。PEB加熱プロセスは、加熱流体、例えば液体を、被加工材支持部内の1つもしくは複数の流体加熱要素(例えば流体通路)を通して輸送することを含みうる。
幾つかの実施形態では、方法は、被加工材を、おおよそPEB設定温度まで加熱することを含みうる。PEB設定温度は、被加工材に対してPEBプロセスを行うための、処理製法、制御ルーチン、オペレータ入力などに特有の温度であってよい。幾つかの実施形態では、PEB設定温度は、約60℃〜約150℃であってよい。方法は、PEB処理期間にわたり、被加工材支持部内の1つもしくは複数の流体加熱要素を使用して、被加工材の温度をおおよそPEB設定温度に維持することを含みうる。方法は、PEB処理期間の完了後、処理チャンバから被加工材を取り出すことを含みうる。
幾つかの実施形態では、1つもしくは複数の流体加熱要素は、処理チャンバの外部に配置された熱交換器から加熱流体を受け取るように構成可能である。流体は、作動液流体、例えば水、油、グリコール(例えばエチレングリコール)またはこれらの混合物であってよい。一実施例として、作動液流体は、PEB設定温度が約60℃〜約80℃の範囲にある場合、水であってよい。他の適切な作動液流体は、所望のもしくは要求されるPEB設定温度に基づいて選択可能である。
流体は、被加工材支持部に関連づけられた流体加熱要素を通って被加工材を加熱することができ、再加熱のために熱交換器へ返送することもできる。こうした流体加熱アプローチは、プラズマプロセス、抵抗加熱部、放射加熱部または他の利用可能な加熱部から回収される熱を含む、利用可能かつ交換可能な、熱交換器用の複数の熱源からの利益を享受しうる。このように、流体(例えば液体)は、流体加熱要素と熱交換器との間を循環することができる。
本開示の例示的な実施形態による方法は、複数の技術的効果および利益を有しうる。例えば、本開示の例示的な実施形態によるPEB加熱プロセスは、被加工材支持部を通して改善された加熱の均一性を提供することができる。また、被加工材支持部の温度は、被加工材支持部を通って循環する加熱流体の高いサーマルマスにより増大された安定性を有しうる。被加工材を被加工材支持部に配置した後、被加工材支持部初期温度の低下は、従来の抵抗ヒータブロックの設計に比べて小さくなりうる。さらに、被加工材を被加工材支持部に配置して被加工材支持部初期温度が低下した後、加熱流体ベースの被加工材支持部の設計は、PEB設定温度まで戻る、より迅速な被加工材支持部温度の勾配(例えば約15秒未満)を提供することができる。最後に、従来のヒータブロックの設計におけるダイナミックな温度制御とは異なり、加熱流体ベースの被加工材支持部の設計によるPEBプロセスにおける被加工材支持部または被加工材の何らかの温度オーバーシュートの問題も低減される。
本開示の別の例示的な実施形態では、第2の熱源(例えば被加工材支持部内に配置された熱源)と組み合わされる放射熱源(例えばランプまたは他の放射熱源)が使用され、フォトレジストの層、例えばCAR層を有する被加工材に対して、少なくとも部分的にPEB加熱プロセスが実現される。例えば、方法は、処理チャンバ内に配置された被加工材支持部上に被加工材を配置することを含みうる。幾つかの実施形態では、被加工材支持部は、1つもしくは複数の熱源、例えば抵抗加熱要素または液流体ベースの加熱要素を含んでいてよい。方法は、CAR層を有する被加工材に対してPEB加熱プロセスを実行することを含みうる。PEB加熱プロセスは、CAR層を有する被加工材を、被加工材支持部に関連づけられた熱源と放射熱源(例えば1つもしくは複数のランプ)との双方を用いて、被加工材温度がPEB設定温度に達するまで加熱することを含みうる。幾つかの実施形態では、PEB設定温度は約60℃〜約150℃であってよい。
被加工材温度がPEB設定温度に達した後、方法は、PEB処理期間中、被加工材支持部に関連づけられた熱源を主に使用して、被加工材温度をおおよそPEB設定温度に維持することを含みうる。放射熱源は、被加工材温度がPEB設定温度に達した後、放射熱源を部分的にまたは完全にパワーオフすることができる。幾つかの実施形態では、PEB設定温度は、約15秒未満で有効に達成可能である。幾つかの実施形態では、PEB設定温度は、約5秒未満で有効に達成可能である。
放射熱源は、1つもしくは複数のランプ、例えばフラッシュランプ、アークランプ、ハロゲンランプ、白熱ランプ、または他のランプ熱源(例えば発光ダイオード(LED)ランプ熱源)を含みうる。本開示の態様を、図示および論述のためにランプに即して論じる。他の放射熱源、例えば、以下に限定されるものではないが、高温ガス流、1つもしくは複数のレーザー、粒子ビームを形成する熱源、RFを形成する熱源、マイクロ波を形成する熱源なども、本開示の範囲から逸脱することなく、放射熱源として使用可能である。
幾つかの実施形態では、被加工材支持部に関連づけられた1つもしくは複数の熱源は、抵抗加熱要素であってよい。他の幾つかの実施形態では、被加工材支持部に関連づけられた1つもしくは複数の熱源は、1つもしくは複数の流体ベース加熱要素を含みうる。1つもしくは複数の流体ベース加熱要素は、処理チャンバ外に配置された熱交換器から加熱流体を受け取るように構成可能である。流体は、作動液流体、例えば油、水、グリコール(例えばエチレングリコール)またはこれらの混合物(例えば水とグリコールとの混合物)であってよい。一実施例として、作動液流体は、PEB設定温度が約60℃〜約80℃の範囲にある場合、水であってよい。他の適切な作動液流体は、所望のもしくは要求される任意のPEB加熱設定温度に基づいて選択可能である。
本開示の例示的な態様による方法により、多数の技術的利益が提供される。例えば、被加工材支持部に関連づけられた熱源に加えて放射加熱要素を使用することにより、放射加熱要素がない場合に比べて、PEB設定温度までの被加工材の加熱をより迅速にすることができる。幾つかの実施形態では、被加工材温度は、15秒未満でPEB設定まで上昇させることができる。幾つかの実施形態では、被加工材温度は、5秒未満でPEB設定まで上昇させることができる。したがって、CAR層に対する酸拡散長さを、PEBプロセス中、いっそう密に制御することができる。
本開示の態様を、図示および論述のために、「ウェハ」または半導体ウェハに即して論じる。当業者は、本明細書において提供される開示を用いて、本開示の例示的な態様が任意の半導体基板または他の適切な基板に関連づけられて使用可能であることを理解するであろう。被加工材が1つもしくは複数のデバイス構造体、膜もしくは層を含むことのできるデバイスの側面を有しうることを理解されたい。また、被加工材は、デバイス構造体を含まない、反対側の非デバイス側面も有しうる。本明細書において使用するように、数値と組み合わせた「約」または「おおよそ」なる語の使用は、言明している数値の10%以内の差を有することをいうことを意図している。
図1には、本開示の例示的な実施形態による、フォトレジストの層(例えばCAR層)を有する被加工材に対してのPEB加熱プロセスの実行に使用可能な、一実施例としての被加工材処理装置100が示されている。被加工材処理装置100は、処理チャンバ110を含みうる。処理チャンバ110は、処理すべき被加工材130、例えば半導体ウェハを支持するように動作可能な被加工材支持部120を含むことができる。被加工材支持部120は、被加工材支持部120の温度を制御するための、1つもしくは複数の液流体ベース加熱要素125を含むことができる。幾つかの実施形態では、被加工材130は、その非デバイス側面132が液流体ベース加熱要素125に接触するように、液流体ベース加熱要素125上に配置可能である。さらに示されているように、被加工材130は、被加工材130のデバイス面134に設けられたCAR層136を含む。
幾つかの実施形態では、被加工材処理装置100はさらに、流体ベース加熱要素125に流体接続された熱交換器126を含むことができる。熱交換器126は、流体加熱要素125の流体入口122へ加熱流体を輸送するように構成可能である。同様に、当該熱交換器は、流体加熱要素125の流体出口124から流体を受け取るように構成可能である。このように、流体(例えば液体)は、流体加熱要素125と熱交換器126との間を循環することができる。
幾つかの実施形態では、流体は、水、油、グリコールまたはこれらの混合物から成るグループから選択された作動液流体である。幾つかの実施形態では、作動液流体はエチレングリコールである。幾つかの実施形態では、作動液流体は、PEB設定温度の特定の目標動作温度のために専用に選択される。一実施例として、作動流体は、PEB設定温度が約60℃〜約80℃の範囲にある場合、水であってよい。他の適切な作動流体は、所望のもしくは要求される任意のPEB加熱設定温度に基づいて選択可能である。
幾つかの実施形態では、被加工材処理装置100は、熱交換器126に動作可能に接続された制御装置160を含みうる。制御装置160は、熱交換器126および/または1つもしくは複数の制御弁の動作を制御して、例えば作動流体の温度の上昇もしくは低下を含む、1つもしくは複数の流体加熱要素125内への流体流の制御を行うように構成可能である。
制御装置160はまた、熱を熱交換器126に供給する熱源127を選択的に制御することもできる。幾つかの実施形態では、熱源127は、抵抗加熱要素を含む。幾つかの実施形態では、熱源127は、(例えばプラズマ源からの)廃熱または回収された熱を提供する。他の熱源127も本開示の範囲から逸脱することなく使用可能である。
幾つかの実施形態では、制御装置160は、温度センサ165から受信した、被加工材130および/または被加工材支持部120の温度を表す信号に基づいて、制御動作(例えば本明細書で論じる動作のいずれか)を実行可能である。温度センサ165は、被加工材130および/または被加工材支持部120の温度の推定のために処理可能な測定値を取得するように構成された、任意の(例えば接触型または非接触型の)適切なセンサであってよい。温度センサ165からの信号は、露光後ベーク(PEB)プロセス中、被加工材130の温度を閉ループ制御するために使用可能である。
幾つかの実施形態では、制御装置160は、コンピュータによって実現される種々の機能を実行するように構成されたプロセッサおよび関連するメモリを含むことができる。本明細書において使用しているように、「プロセッサ」なる語は、コンピュータに含まれている形式のものをいう集積回路だけでなく、コントローラ、マイクロコントローラ、マイクロコンピュータ、プログラマブルロジックコントローラ(PLC)、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、および他のプログラマブル回路もいう。付加的に、メモリは、メモリ素子を含むことができ、以下に限定されるものではないが、コンピュータ可読媒体(例えばランダムアクセスメモリ(RAM))、コンピュータ可読不揮発性媒体(例えばフラッシュメモリ)、および/または他の適切なメモリ素子またはこれらの組み合わせを含みうる。付加的に、制御装置160は、通信インタフェースを含みうる。幾つかの実現形態では、通信インタフェースは、データの送受信に使用される関連する電子回路を含みうる。
図2には、本開示の例示的な実施形態による、被加工材のCAR層に対して流体ベースPEB加熱プロセスを実現するための実施例としての方法200のフローチャートが示されている。図2には、図示および論述のために特定の順序で行われるステップが示されている。当業者には、本明細書において提供される開示を用いて、本開示の範囲から逸脱することなく、本明細書において説明しているマルチサイクル熱処理プロセスの種々のステップを省略、拡張、同時実行、組み換えおよび/または種々に修正できることが理解されるであろう。付加的に、(図示していない)種々の付加的なステップも、本開示の範囲から逸脱することなく、実行可能である。
(202)で、方法200は、処理チャンバ内に配置された被加工材支持部上に被加工材を配置することを含みうる。例えば、被加工材130は、被加工材支持部120上に配置可能である。被加工材支持部120は、1つもしくは複数の流体ベース加熱要素125を含むことができる。各流体ベース加熱要素125は、例えば、流体流、例えば液流体流のための通路を含むことができる。
(204)で、方法200は、フォトマスクを通して被加工材のレジスト層の露光を実行することを含みうる。例えば、フォトマスクは、被加工材の少なくとも一部にエッチングすべきパターンを含むことができる。フォトマスクは、被加工材にエッチングすべきパターンと同じスケールのパターンを含んでいてよい。付加的にかつ/または代替的に、フォトマスクは、被加工材にエッチングすべきパターンとは異なるスケールのパターン、例えばより大きなパターンおよび/またはより小さなパターンを含んでいてもよい。フォトマスクおよびレジスト層は放射に対して露光可能であり、これにより、フォトマスクのパターンがレジスト層にエッチングされる。選択波長の放射に対するフォトレジストの付加的な露光(例えばフォトレジストの層へのフォトマスクのパターンの転写に使用される選択波長の放射とは別の放射に対する露光)を、PEBプロセスにおいて回避することができる。
(206)で、方法200は、被加工材支持部内の1つもしくは複数の加熱要素を用いて流体ベースPEB加熱プロセスを行うことを含みうる。例えば、PEB加熱プロセスは、被加工材支持部に関連づけられた流体加熱要素を通して、被加工材温度がPEB設定温度に達するまで、加熱流体を輸送することを含みうる。幾つかの実施形態では、PEB設定温度は、約60℃〜約150℃であってよい。幾つかの実施形態では、被加工材は、15秒未満で、例えば5秒未満で、PEB設定温度まで加熱可能である。
一実施例として、図1を参照すると、熱交換器126が、被加工材支持部内の流体加熱要素125に流体接続可能である。熱交換器126は、流体加熱要素125の流体入口122に加熱流体を輸送するように構成可能である。同様に、熱交換器は、流体加熱要素125の流体出口124から流体を受け取るように構成可能である。このように、流体は、流体加熱要素125と熱交換器126との間の流体接続において循環可能である。
幾つかの実施形態では、流体は、水、油、グリコールまたはこれらの混合物から成るグループから選択される作動液流体である。幾つかの実施形態では、作動液流体は、エチレングリコールである。他の実施形態では、作動流体は、PEB設定温度の特定の目標動作温度に対して専用に選択される。一実施例として、作動流体は、PEB設定温度が約60℃〜80℃の範囲に入りうる場合、水であってよい。他の適切な作動流体は、所望のもしくは要求される任意のPEB加熱設定温度に基づいて選択可能である。
幾つかの実施形態では、熱交換器126は、抵抗加熱要素から熱を受け取る。幾つかの実施形態では、熱交換器126は、廃熱または回収された熱を受け取る。熱交換器126として、別の熱源も適用可能である(例えばプラズマ熱源)。
(208)で、方法200は、流体ヒータを使用して、被加工材温度をPEB設定温度に維持することを含みうる。例えば、流体ヒータは、PEB処理期間にわたって実質的に一定の温度に加熱された流体を循環させることができる。PEB処理期間の持続時間は、層136の型式と種々の他の要因とに基づいて変化させることができる。(210)で、方法200は、PEB処理期間が完了した後、処理チャンバから被加工材を取り出すことを含みうる。
図3には、本開示の例示的な実施形態による、流体ベースPEB加熱プロセス中の時間の関数としての被加工材支持部温度のグラフ表現が示されている。図3には、水平軸に沿って時間がプロットされており、垂直軸に沿って被加工材支持部の温度がプロットされている。曲線410は、抵抗加熱要素を組み込んだ被加工材支持部に対する被加工材支持部温度を示している。上述したように、最初により低温の被加工材が被加工材支持部のより高温の表面に接触する際に、被加工材支持部温度に大きな低下が生じうる。このことから、PEB設定温度に達するまで長い加熱時間が発生しうる。長い加熱時間は、被加工材のCAR層に長い酸拡散長さを生じさせることがあり、これによりCD制御の劣化が生じうる。
曲線412は、本開示の例示的な実施形態による、1つもしくは複数の流体加熱要素を組み込んだ被加工材支持部の被加工材支持部温度を示している。抵抗加熱要素を組み込んだ被加工材支持部の被加工材支持部温度を表す曲線410に比べて、1つもしくは複数の流体加熱要素を組み込んだ被加工材支持部の曲線412では、最初に被加工材が被加工材支持部に接触する際の被加工材支持部温度の初期低下が小さくなっている。これにより、被加工材がPEB設定温度に達するまでの時間をより迅速に(例えば約15秒未満に)することができる。したがって、被加工材のCAR層の酸拡散長さをいっそう密に制御することができる。
図4には、本開示の例示的な実施形態による、フォトレジストの層(例えばCAR層)を有する被加工材に対するPEBプロセスの実行に使用可能な被加工材処理装置300が示されている。図示しているように、被加工材処理装置300は、処理チャンバ110を含む。処理チャンバ110は、処理すべき被加工材を支持するように動作可能な被加工材支持部320を含みうる。被加工材支持部320は、1つもしくは複数の加熱要素325、例えば抵抗加熱要素を含みうる。幾つかの実施形態では、被加工材130は、その非デバイス側面132がヒータ320に接触するように、被加工材支持部320上に配置可能である。さらに図示しているように、被加工材130は、そのデバイス側面134に設けられたCAR層136を含む。
幾つかの実施形態では、被加工材処理装置300は、被加工材130に向かってエネルギを放出するように構成された1つもしくは複数のランプ340を含みうる。より具体的には、1つもしくは複数のランプ340は、被加工材130のCAR層136に向かって光を放出し、CAR層に対して少なくとも部分的にPEBプロセスを実現することができる。
ランプ340のそれぞれは、例えば、アークランプ、ハロゲンランプ、白熱ランプ、または他のランプ熱源(例えば発光ダイオード(LED)ランプ熱源)であってよい。本開示の態様を、図示および論述のために、ランプ340に即して論じる。当業者には、本明細書において提供される開示を用いて、ランプ340に代えて任意の放射熱源を使用可能であることが理解されるであろう。
フォトリソグラフィでは、被加工材のフォトレジストの層、例えばCAR層が、パターニングされたフォトマスクを通してフォトマスクのパターンをフォトレジストに転写させるために、選択波長の放射に対して露光される。例えば、フォトマスクは、被加工材の少なくとも一部にエッチングすべきパターンを含むことができる。フォトマスクは、被加工材にエッチングすべきパターンと同じスケールのパターンを含むことができる。付加的にかつ/または代替的に、フォトマスクは、被加工材にエッチングすべきパターンとは異なるスケールのパターン、例えばより大きなパターンおよび/またはより小さなパターンを含んでいてもよい。選択波長の放射に対するフォトレジストの付加的な露光(例えばフォトレジストの層へのフォトマスクのパターンの転写に使用される選択波長の放射とは別の放射に対する露光)は、PEBプロセスにおいて回避すべきである。
幾つかの実施形態では、フィルタ350を、ランプ340と被加工材130との間に配置することができる。フィルタは、所定の波長帯域内の放射に対して少なくとも部分的に不透明とすることができる。例えば、フィルタは、フィルタに入射する波長帯域の波長を有する光子(例えばランプ340からの光子)の少なくとも一部を、フィルタを透過しないように阻止することができる。このように、フィルタは、当該帯域の放射を少なくとも部分的に阻止することができ、これにより、フォトレジストの層が当該帯域の放射に対して露光されることが防止される。
図5には、光の波長の関数としての、例示のフィルタを通る光の透過率のグラフ表現400が示されている。例えば、波長が横軸に、(入射してくる放射のパーセンテージとしての)透過率が縦軸にあってよい。図5から見て取れるように、フィルタは、1つの波長での放射(例えば横軸の右端に近い放射)に対して実質的に透明であってよく、他の波長の放射(例えば横軸の左端に近い放射)に対して実質的に不透明であってよい。
幾つかの実施形態では、被加工材処理装置300は、1つもしくは複数のランプ340および/または被加工材支持部320内の1つもしくは複数の加熱要素325に動作可能に接続された制御装置160を含みうる。このように、制御装置160は、1つもしくは複数のランプ340および/または1つもしくは複数の加熱要素325の動作を制御するように構成可能である。
制御装置160はまた、熱交換器126に熱を供給する熱源127を選択的に制御することができる。幾つかの実施形態では、熱源127は、抵抗加熱要素を含む。幾つかの実施形態では、熱源127は、(例えばプラズマ源からの)廃熱または回収された熱を供給する。他の熱源127も本開示の範囲から逸脱することなく使用可能である。
幾つかの実施形態では、制御装置160は、温度センサ165から受信した、被加工材130および/または被加工材支持部120の温度を表す信号に基づいて、制御動作(例えば本明細書で論じる動作のいずれか)を実行可能である。温度センサ165は、被加工材130および/または被加工材支持部120の温度の推定のために処理可能な温度測定を行うように構成された、任意の(例えば接触型または非接触型の)適切なセンサであってよい。温度センサ165からの信号は、露光後ベークプロセス中、被加工材130の温度を閉ループ制御するために使用可能である。例えば、制御装置160は、温度センサからの信号によって被加工材130の温度がPEB設定温度に達したことが示された際に、1つもしくは複数のランプ340をパワーオフすることができる。
幾つかの実施形態では、制御装置160は、コンピュータによって実現される種々の機能を実行するように構成されたプロセッサおよび関連するメモリを含むことができる。本明細書において使用しているように、「プロセッサ」なる語は、コンピュータに含まれている形式のものをいう集積回路だけでなく、コントローラ、マイクロコントローラ、マイクロコンピュータ、プログラマブルロジックコントローラ(PLC)、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、および他のプログラマブル回路をいう。付加的に、メモリはメモリ素子を含むことができ、以下に限定されるものではないが、コンピュータ可読媒体(例えばランダムアクセスメモリ(RAM))、コンピュータ可読不揮発性媒体(例えばフラッシュメモリ)、および/または他の適切なメモリ素子またはこれらの組み合わせを含みうる。付加的に、制御装置160は、通信インタフェースを含みうる。幾つかの実現形態では、通信インタフェースは、データの送受信に使用される関連する電子回路を含みうる。
図6には、本開示の例示的な態様による、被加工材を処理する方法500の一実施例のフローチャートが示されている。方法500は、図4に即して上述した被加工材処理装置300を使用して実現可能である。ただし、方法500は、本開示の範囲から逸脱することなく、他のアプローチを使用しても実現可能であることを理解されたい。
図6には図示および論述のために特定の順序で実行されるステップが示されていることを理解されたい。ただし、当業者には、本明細書において提供される開示を用いて、本開示の範囲から逸脱することなく、本明細書において説明する方法500の種々のステップが省略、拡張、同時実行、組み換えおよび/または種々に修正できることが理解されるであろう。付加的に、(図示していない)種々の付加的なステップも、本開示の範囲から逸脱することなく、実行可能である。
(502)で、方法500は、処理チャンバ内に配置された加熱要素上に被加工材を配置することを含みうる。例えば、被加工材130は、被加工材支持部320上に配置可能である。被加工材支持部320は、1つもしくは複数の加熱要素325(例えば抵抗加熱要素または流体ベース加熱要素)を含むことができる。
(504)で、方法500は、フォトマスクを通して被加工材のレジスト層の露光を実行することを含みうる。例えば、フォトマスクは、被加工材の少なくとも一部にエッチングすべきパターンを含むことができる。フォトマスクは、被加工材にエッチングすべきパターンと同じスケールのパターンを含んでいてよい。付加的にかつ/または代替的に、フォトマスクは、被加工材にエッチングすべきパターンとは異なるスケールのパターン、例えばより大きなパターンおよび/またはより小さなパターンを含んでいてもよい。フォトマスクおよびレジスト層は放射に対して露光可能であり、これにより、フォトマスクのパターンがレジスト層にエッチングされる。選択波長の放射に対するフォトレジストの付加的な露光(例えばフォトレジストの層へのフォトマスクのパターンの転写に使用される選択波長の放射とは別の放射に対する露光)を、PEBプロセスにおいて回避すべきである。
(506)で、方法500は、被加工材に対してハイブリッドPEB加熱プロセスを行うことを含みうる。ハイブリッドPEB加熱プロセスは、被加工材温度が初期的にPEB設定温度へ上昇する間、被加工材支持部に関連づけられた加熱要素と放射加熱部(例えばランプ)との双方を使用することを含みうる。被加工材温度がおおよそPEB設定温度となった後、被加工材支持部に関連づけられた加熱要素を使用して、被加工材温度をおおよそPEB設定温度に維持することができる。
例えば、被加工材温度をPEB設定温度へと初期的に上昇させる間、加熱要素325(図4)およびランプ熱源340の双方を使用して、被加工材を加熱することができる。被加工材温度がおおよそPEB設定温度となった後、加熱要素325を使用して被加工材温度をおおよそPEB設定温度に維持することができる。
これに関して、(508)で、方法500は、第2の熱源を使用して、PEB処理期間にわたって被加工材温度をPEB設定温度に維持することを含みうる。幾つかの実施形態では、PEBプロセスの全体にわたってPEB設定温度が維持されるように、ランプ340をパワーオフして第2の熱源を動作させることができる。
(510)で、方法500は、PEB処理期間が完了した後、処理チャンバから被加工材を取り出すことを含みうる。PEB処理期間の持続時間は、レジスト(例えばCAR層136)の型式と種々の他の要因とに基づいて変化させることができる。
図7には、本開示の例示的な実施形態による、ハイブリッドPEB加熱プロセス中の時間の関数としての被加工材温度のグラフ表現が示されている。図7には、水平軸に沿って時間がプロットされており、垂直軸に沿って被加工材温度がプロットされている。曲線610は、被加工材支持部内に組み込まれた加熱要素のみを使用して被加工材が加熱される場合の被加工材温度を示している。曲線620は、本開示の例示的な実施形態による、ハイブリッドPEB加熱プロセス中の被加工材温度を示している。図示しているように、ハイブリッドPEB加熱プロセスにより、被加工材がPEB設定温度に達するまでの時間をより迅速に(例えば約15秒未満、例えば5秒未満に)することができる。したがって、CAR層の酸拡散長さをいっそう密に制御することができる。
図6および図7を、放射熱源(例えばランプ)に加えて被加工材支持部内の加熱要素を使用するハイブリッドPEB加熱プロセスに即して論じた。本開示の例示的な実施形態によるハイブリッドPEB加熱プロセスは、被加工材支持部内の抵抗加熱要素を使用して実現可能である。また、本開示の例示的な実施形態によるハイブリッドPEB加熱プロセスは、被加工材支持部内の流体ベース加熱要素を使用しても実現可能である。
図8には、本開示の例示的な実施形態による、プロセスの実行に使用可能な被加工材処理装置700が示されている。被加工材処理装置700は処理チャンバ110を含みうる。処理チャンバ110は、処理すべき被加工材130、例えば半導体ウェハを支持するように動作可能な被加工材支持部120を含みうる。被加工材支持部120は、被加工材支持部120の温度を制御するための、1つもしくは複数の流体ベース加熱要素125を含むことができる。幾つかの実施形態では、被加工材130は、その非デバイス側面132が被加工材支持部120に接触するように、流体ベース加熱要素125上に配置可能である。さらに示されているように、被加工材130は、被加工材130のデバイス面134に設けられたCAR層136を含む。
幾つかの実施形態では、被加工材処理装置700はさらに、流体ベース加熱要素125に流体接続された熱交換器126を含むことができる。熱交換器126は、流体加熱要素125の流体入口122へ加熱流体を輸送するように構成可能である。同様に、当該熱交換器は、流体ベース加熱要素125の流体出口124から流体を受け取るように構成可能である。このように、流体(例えば液体)は、流体ベース加熱要素125と熱交換器126との間の流体接続において循環することができる。
幾つかの実施形態では、流体は、水、油、グリコールまたはこれらの混合物から成るグループから選択された作動液流体である。幾つかの実施形態では、作動液流体はエチレングリコールである。幾つかの実施形態では、作動液流体は、PEB設定温度の特定の目標動作温度のために専用に選択される。一実施例として、作動流体は、PEB設定温度が約60℃〜約80℃の範囲にある場合、水であってよい。他の適切な作動流体は、所望のもしくは要求される任意のPEB加熱設定温度に基づいて選択可能である。
ランプ340は、例えばアークランプ、ハロゲンランプ、白熱ランプ、または他のランプ熱源(例えば発光ダイオード(LED)ランプ熱源)であってよい。本開示の態様を、図示および論述のためにランプ340に即して論じる。他の熱源、例えば高温壁の環境に限定されるものではないが、高温プレートまたは高温サセプタ、高温ガス流、放射熱源、例えばレーザー、粒子ビームを形成する熱源、RFを形成する熱源、またはマイクロ波を形成する熱源も、本開示の範囲から逸脱することなく、使用可能である。
幾つかの実施形態では、フィルタ350は、ランプ340と被加工材130との間に配置可能である。フィルタは、所定の波長帯域内の放射に対して少なくとも部分的に不透明とすることができる。例えば、フィルタは、フィルタに入射する波長帯域の波長を有する光子(例えばランプ340からの光子)の少なくとも一部を、フィルタを透過しないように阻止することができる。このように、フィルタは、当該帯域の放射を少なくとも部分的に阻止することができ、当該帯域の放射に対するフォトレジストの層の露光が阻止される。
幾つかの実施形態では、被加工材処理装置300は、1つもしくは複数のランプ340および熱交換器126に動作可能に接続された制御装置160を含みうる。このように、制御装置160は、1つもしくは複数のランプ340の動作を制御することができる。制御装置160はまた、例えば作動流体の温度の上昇もしくは低下を含む、熱交換器126の動作の制御を行うように構成可能である。制御装置はまた、熱を熱交換器126に供給する熱源を選択的に制御することもできる。
制御装置160はまた、熱を熱交換器126に供給する熱源127を選択的に制御することもできる。幾つかの実施形態では、熱源127は、抵抗加熱要素を含む。幾つかの実施形態では、熱源127は、(例えばプラズマ源からの)廃熱または回収された熱を提供する。他の熱源127も本開示の範囲から逸脱することなく使用可能である。
幾つかの実施形態では、制御装置160は、温度センサ165から受信した信号に基づいて、制御動作(例えば本明細書で論じる動作のいずれか)を実行可能である。温度センサ165は、被加工材130および/または被加工材支持部120の温度の推定のために処理可能な測定値を取得するように構成された、任意の(例えば接触型または非接触型の)適切なセンサであってよい。温度センサ165からの信号は、露光後ベーク(PEB)プロセス中、被加工材130の温度を閉ループ制御するために使用可能である。例えば、制御装置160は、温度センサからの信号によって被加工材130の温度がPEB設定温度に達したことが示された場合、1つもしくは複数のランプ340をパワーオフすることができる。
幾つかの実施形態では、制御装置160は、コンピュータによって実現される種々の機能を実行するように構成されたプロセッサおよび関連するメモリを含むことができる。本明細書において使用しているように、「プロセッサ」なる語は、コンピュータに含まれている形式のものをいう集積回路だけでなく、コントローラ、マイクロコントローラ、マイクロコンピュータ、プログラマブルロジックコントローラ(PLC)、特定用途向け集積回路(ASIC)、フィールドプログラマブルゲートアレイ(FPGA)、および他のプログラマブル回路をいう。付加的に、メモリは、メモリ素子を含むことができ、以下に限定されるものではないが、コンピュータ可読媒体(例えばランダムアクセスメモリ(RAM))、コンピュータ可読不揮発性媒体(例えばフラッシュメモリ)、および/または他の適切なメモリ素子またはこれらの組み合わせを含みうる。付加的に、制御装置160は、通信インタフェースを含みうる。幾つかの実現形態では、通信インタフェースは、データの送受信に使用される関連する電子回路を含みうる。
本主題をその特定の例示的な実施形態に即して詳細に説明してきたが、当業者には、上述したことがらの理解を得て、こうした実施形態の変形形態および等価形態にいたる修正を容易に行いうることが理解されるであろう。したがって、本開示の範囲は、限定のためでなく実施例としてのものであり、主題の開示は、本主題へのこうした修正、変形および/または追加の包含を排除するものでなく、当業者にとって容易に明らかとなるものである。

Claims (20)

  1. 被加工材を処理する方法であって、該方法は、
    処理チャンバ内に配置された被加工材支持部上に、フォトレジストの層を有する被加工材を配置することと、
    前記フォトレジストを、フォトマスクを通して所定の波長の光子に露光させることと、
    前記フォトレジストの層を有する前記被加工材に対し、露光後ベーク加熱プロセスを行うことと
    を含み、ここで、前記露光後ベーク加熱プロセスは、放射熱源と前記被加工材支持部内に配置された第2の熱源との双方により、前記被加工材の温度が露光後ベーク設定温度に達するまで、前記被加工材を加熱することを含む、方法。
  2. 前記露光後ベーク加熱プロセスは、約15秒未満で、おおよそ前記露光後ベーク設定温度まで前記被加工材を加熱するものである、
    請求項1記載の方法。
  3. 前記露光後ベーク加熱プロセスは、約5秒未満で、おおよそ前記露光後ベーク設定温度まで前記被加工材を加熱するものである、
    請求項1記載の方法。
  4. 前記被加工材が前記露光後ベーク設定温度に達した後に1つもしくは複数の前記放射熱源をパワーオフし、一方、前記第2の熱源による前記被加工材の加熱を維持することをさらに含む、
    請求項1記載の方法。
  5. 前記露光後ベーク設定温度は、約60℃〜約150℃である、
    請求項1記載の方法。
  6. 前記放射熱源は、1つもしくは複数の白熱ランプを含む、
    請求項1記載の方法。
  7. 前記露光後ベーク加熱プロセス中、前記放射熱源と前記被加工材との間に、前記所定の波長の光子の透過に対して少なくとも部分的に不透明なフィルタが配置されている、
    請求項1記載の方法。
  8. 前記放射熱源は、1つもしくは複数の発光ダイオードを含む、
    請求項1記載の方法。
  9. 前記第2の熱源は、前記被加工材支持部内に配置された1つもしくは複数の抵抗加熱要素を含む、
    請求項1記載の方法。
  10. 前記第2の熱源は、前記被加工材支持部内に配置された1つもしくは複数の流体ベース加熱要素を含む、
    請求項1記載の方法。
  11. 前記第2の熱源内の前記1つもしくは複数の流体ベース加熱要素は熱交換器に流体接続されており、流体は、前記露光後ベーク加熱プロセス中、前記第2の熱源内の前記1つもしくは複数の流体ベース加熱要素と前記熱交換器との間を循環する、
    請求項10記載の方法。
  12. 前記流体は、水、油およびグリコールのうちの1つもしくは複数を含む作動液流体である、
    請求項11記載の方法。
  13. 前記フォトレジストの層は、化学強化レジスト層である、
    請求項1記載の方法。
  14. 被加工材を処理する方法であって、該方法は、
    被加工材のフォトレジストの層を、フォトマスクを通して所定の波長の光子に露光させることと、
    処理チャンバ内に配置された、1つもしくは複数の流体ベース加熱要素を含む被加工材支持部上に、前記フォトレジストの層を有する前記被加工材を配置することと、
    前記流体ベース加熱要素を含む前記被加工材支持部上の前記被加工材の前記フォトレジストの層に対し、おおよそ露光後ベーク設定温度で、露光後ベーク加熱プロセスを行うことと
    を含む、方法。
  15. 前記被加工材支持部内の前記流体ベース加熱要素は熱交換器に流体接続されており、流体は、前記露光後ベーク加熱プロセス中、前記被加工材支持部内の前記流体ベース加熱要素と前記熱交換器との間を循環する、
    請求項14記載の方法。
  16. 前記流体は、水、油およびグリコールのうちの1つもしくは複数を含む作動液流体である、
    請求項14記載の方法。
  17. 前記露光後ベーク設定温度は、約60℃〜約150℃の範囲にある、
    請求項14記載の方法。
  18. 前記露光後ベーク加熱プロセスは、約15秒未満で、おおよそ前記露光後ベーク設定温度まで前記被加工材を加熱するものである、
    請求項14記載の方法。
  19. 前記露光後ベーク加熱プロセスは、約5秒未満で、おおよそ前記露光後ベーク設定温度まで前記被加工材を加熱するものである、
    請求項14記載の方法。
  20. 前記フォトレジストの層は、化学強化レジスト層である、
    請求項14記載の方法。
JP2020569815A 2018-06-15 2019-05-03 被加工材の露光後ベーク処理のための方法および装置 Active JP7086232B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862685608P 2018-06-15 2018-06-15
US62/685,608 2018-06-15
US201862735244P 2018-09-24 2018-09-24
US62/735,244 2018-09-24
PCT/US2019/030552 WO2019240891A1 (en) 2018-06-15 2019-05-03 Methods and apparatus for post exposure bake processing of a workpiece

Publications (2)

Publication Number Publication Date
JP2021527955A true JP2021527955A (ja) 2021-10-14
JP7086232B2 JP7086232B2 (ja) 2022-06-17

Family

ID=68840718

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020569815A Active JP7086232B2 (ja) 2018-06-15 2019-05-03 被加工材の露光後ベーク処理のための方法および装置

Country Status (6)

Country Link
US (1) US11586113B2 (ja)
JP (1) JP7086232B2 (ja)
KR (1) KR102513167B1 (ja)
CN (1) CN112204470B (ja)
TW (1) TW202001436A (ja)
WO (1) WO2019240891A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11644748B2 (en) 2021-04-09 2023-05-09 Applied Materials, Inc. Multi-volume baking chamber for mask clean

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5875154A (ja) * 1981-10-29 1983-05-06 Toppan Printing Co Ltd 加熱装置
JPH07111948B2 (ja) * 1987-12-23 1995-11-29 東京エレクトロン九州株式会社 ベーキング装置
JP2000146444A (ja) * 1998-09-09 2000-05-26 Tokyo Electron Ltd 加熱処理装置
JP2002023860A (ja) * 2000-07-12 2002-01-25 Komatsu Ltd 温度調整システム
JP2002525845A (ja) * 1998-09-14 2002-08-13 シリコン ヴァレイ グループ インコーポレイテッド ウェーハ表面上の材料に対する環境交換制御
JP2009021596A (ja) * 2007-07-11 2009-01-29 Semes Co Ltd プレート、これを有する基板温度調節装置及びこれを有する基板処理装置。
JP2013069990A (ja) * 2011-09-26 2013-04-18 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2014220471A (ja) * 2013-05-10 2014-11-20 東京エレクトロン株式会社 レジストパターン形成方法、塗布、現像装置、記憶媒体
JP2017525131A (ja) * 2014-06-10 2017-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電場/磁場案内された酸拡散

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0885410B1 (en) * 1996-03-07 2000-09-13 Clariant Finance (BVI) Limited Thermal treatment process of positive photoresist composition
US6358672B2 (en) 1998-02-05 2002-03-19 Samsung Electronics Co., Ltd. Method of forming semiconductor device pattern including cross-linking and flow baking a positive photoresist
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6185370B1 (en) 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6497800B1 (en) 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6245491B1 (en) * 1999-02-05 2001-06-12 National Semiconductor Corp. Photo-assisted post exposure bake for chemically amplified photoresist process
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030173346A1 (en) 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
US20060238954A1 (en) 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates
KR100626395B1 (ko) * 2005-06-29 2006-09-20 삼성전자주식회사 노광 후 베이크 장치 및 노광 후 베이크 방법, 그리고 상기장치를 가지는 포토 리소그래피 시스템
US7679714B2 (en) * 2006-10-12 2010-03-16 Asml Netherlands B.V. Lithographic apparatus, combination of lithographic apparatus and processing module, and device manufacturing method
US7838198B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP5160920B2 (ja) * 2008-02-22 2013-03-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法、半導体装置の製造装置、及び製造プログラム
US7845868B1 (en) * 2009-09-09 2010-12-07 Nanya Technology Corporation Apparatus for semiconductor manufacturing process
US8435728B2 (en) * 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8940475B2 (en) * 2010-11-23 2015-01-27 Tokyo Electron Limited Double patterning with inline critical dimension slimming
JP5514759B2 (ja) * 2011-03-25 2014-06-04 富士フイルム株式会社 レジストパターン形成方法、レジストパターン、有機溶剤現像用の架橋性ネガ型化学増幅型レジスト組成物、レジスト膜、及びレジスト塗布マスクブランクス
JP2013101216A (ja) * 2011-11-08 2013-05-23 Hoya Corp レジストパターン付き基板の製造方法及びレジスト層付き基板の製造方法
CN105093863A (zh) * 2015-08-20 2015-11-25 上海华力微电子有限公司 一种光刻工艺的曝光后烘烤方法
JP6792368B2 (ja) * 2016-07-25 2020-11-25 株式会社Screenホールディングス 熱処理装置、基板処理装置および熱処理方法

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5875154A (ja) * 1981-10-29 1983-05-06 Toppan Printing Co Ltd 加熱装置
JPH07111948B2 (ja) * 1987-12-23 1995-11-29 東京エレクトロン九州株式会社 ベーキング装置
JP2000146444A (ja) * 1998-09-09 2000-05-26 Tokyo Electron Ltd 加熱処理装置
JP2002525845A (ja) * 1998-09-14 2002-08-13 シリコン ヴァレイ グループ インコーポレイテッド ウェーハ表面上の材料に対する環境交換制御
JP2002023860A (ja) * 2000-07-12 2002-01-25 Komatsu Ltd 温度調整システム
JP2009021596A (ja) * 2007-07-11 2009-01-29 Semes Co Ltd プレート、これを有する基板温度調節装置及びこれを有する基板処理装置。
JP2013069990A (ja) * 2011-09-26 2013-04-18 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
JP2014220471A (ja) * 2013-05-10 2014-11-20 東京エレクトロン株式会社 レジストパターン形成方法、塗布、現像装置、記憶媒体
JP2017525131A (ja) * 2014-06-10 2017-08-31 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電場/磁場案内された酸拡散
JP2018164076A (ja) * 2014-06-10 2018-10-18 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 電場/磁場案内された酸拡散

Also Published As

Publication number Publication date
CN112204470A (zh) 2021-01-08
JP7086232B2 (ja) 2022-06-17
TW202001436A (zh) 2020-01-01
CN112204470B (zh) 2024-04-16
US11586113B2 (en) 2023-02-21
US20190384178A1 (en) 2019-12-19
WO2019240891A1 (en) 2019-12-19
KR102513167B1 (ko) 2023-03-23
KR20200143505A (ko) 2020-12-23

Similar Documents

Publication Publication Date Title
TW469491B (en) Silylation treatment unit and method
US20140263172A1 (en) Resist hardening and development processes for semiconductor device manufacturing
JP5174098B2 (ja) 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
JP5296022B2 (ja) 熱処理方法及びその熱処理方法を実行させるためのプログラムを記録した記録媒体並びに熱処理装置
JP2008141163A (ja) 集積熱ユニット
EP1119028A1 (en) Apparatus for curing resist
JP7086232B2 (ja) 被加工材の露光後ベーク処理のための方法および装置
WO2007032372A1 (ja) 基板処理装置、基板処理方法、基板処理プログラム、及びそのプログラムを記録したコンピュータ読み取り可能な記録媒体
WO2007032370A1 (ja) 基板処理装置、基板処理方法、基板処理プログラム、及びそのプログラムを記録したコンピュータ読み取り可能な記録媒体
JP5003523B2 (ja) 熱処理装置、熱処理方法、塗布、現像装置及び記憶媒体
JP2011099956A (ja) レジストのベーク方法及びベーク装置
TWI813889B (zh) 用於曝光後處理的方法及設備
JP6081879B2 (ja) 塗布膜の形成方法、プログラム及びコンピュータ記憶媒体
TWI607490B (zh) Photoresist pattern forming method, coating, developing device, memory medium
US6555298B1 (en) Method and apparatus for uniformly baking substrates such as photomasks
TWI521561B (zh) 極紫外光光阻敏感性降低
TW202016655A (zh) 基板處理方法及基板處理裝置
JP2021086993A (ja) 基板処理方法および基板処理装置
CN117795433A (zh) 用于晶片中的干式显影副产物挥发的干式显影装置和方法
JPH05251333A (ja) 半導体製造装置および処理方法
KR100534024B1 (ko) 반도체 소자 제조용 베이크 장비 및 베이킹 방법
WO2011099221A1 (ja) 基板処理方法
JP2008004591A (ja) 基板の処理方法、プログラム、コンピュータ読み取り可能な記録媒体及び基板の処理システム
KR20080093825A (ko) 냉각 플레이트 및 이를 포함하는 웨이퍼 냉각장치
TW202217929A (zh) 基板處理方法、基板處理裝置及記錄媒體

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20201214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211027

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220119

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220516

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220607

R150 Certificate of patent or registration of utility model

Ref document number: 7086232

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150