KR20200143505A - 워크피스의 노광 후 베이크 공정을 위한 방법 및 장치 - Google Patents

워크피스의 노광 후 베이크 공정을 위한 방법 및 장치 Download PDF

Info

Publication number
KR20200143505A
KR20200143505A KR1020207036003A KR20207036003A KR20200143505A KR 20200143505 A KR20200143505 A KR 20200143505A KR 1020207036003 A KR1020207036003 A KR 1020207036003A KR 20207036003 A KR20207036003 A KR 20207036003A KR 20200143505 A KR20200143505 A KR 20200143505A
Authority
KR
South Korea
Prior art keywords
workpiece
fluid
post
exposure
heat source
Prior art date
Application number
KR1020207036003A
Other languages
English (en)
Other versions
KR102513167B1 (ko
Inventor
마이클 엑스. 양
Original Assignee
매슨 테크놀로지 인크
베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매슨 테크놀로지 인크, 베이징 이타운 세미컨덕터 테크놀로지 컴퍼니 리미티드 filed Critical 매슨 테크놀로지 인크
Publication of KR20200143505A publication Critical patent/KR20200143505A/ko
Application granted granted Critical
Publication of KR102513167B1 publication Critical patent/KR102513167B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing
    • G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Atmospheric Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

노광 후 베이크 방법을 제공한다. 일 실시예에서, 이 방법은 프로세싱 챔버에 배치된 워크피스 지지체 상에 포토레지스트 층을 갖는 워크피스를 배치하는 단계를 포함한다. 이 방법은 포토마스크를 통해 파장의 광자에 포토레지스트를 노광시키는 단계를 포함한다. 이 방법은 포토레지스트 가열 층을 갖는 워크피스에 대해 노광 후 베이크 가열 공정을 수행하는 단계를 포함한다. 노광 후 베이크 가열 공정은 워크피스의 온도가 노광 후 베이크 설정 온도에 도달할 때까지 워크피스 지지체에 배치된 복사 열원 및 제2 열원 모두를 사용하여 워크피스를 가열하는 단계를 포함할 수 있다.

Description

워크피스의 노광 후 베이크 공정을 위한 방법 및 장치
본 출원 청구범위는 2018년 9월 24일 제출된, 미국 가출원 일련번호 62/735,244, 발명의 명칭 “Methods and Apparatus for Post Exposure Bake Processing of a Workpiece(워크피스의 노광 후 베이크 공정을 위한 방법 및 장치)”의 우선권 이익을 주장하며, 이는 본 출원에 참조로 포함된다. 본 출원 청구범위는 2018년 6월 15일 제출된, 미국 가출원 일련번호 62/685,608, 발명의 명칭 “Methods and Apparatus for Post Exposure Bake Processing of a Workpiece(워크피스의 노광 후 베이크 공정을 위한 방법 및 장치)”의 우선권 이익을 주장하며, 이는 본 출원에 참조로 포함된다.
본 발명은 일반적으로, 예를 들어, 반도체 공정과 관련된 리소그래피(lithography) 공정 동안, 워크피스의 노광 후 베이크(post exposure bake, PEB) 공정을 위한 방법에 관한 것이다.
반도체 공정에서, 장치 제조는 여러 리소그래피 단계를 포함할 수 있다. 또한, 워크피스의 임계 치수(critical dimension, CD)는 계속 축소되고 있으며, 가장 진보한 장치의 생산 및 개발에 있어서 심자외선(deep UV) 및 극자외선(extreme UV, EUV) 리소그래피가 주류가 되었다. 가장 진보한 포토리소그래피(photolithography) 방법(예: 심자외선 및 극자외선)은 화학 증폭형 레지스트(chemically amplified resist, CAR)를 채택한다. 화학 증폭형 레지스트의 화학적 증폭은 노광 시 화학적으로 안정한 촉매 화합물의 생성을 기반으로 한다. 후속적인 노광 후 베이크 공정 동안, 광-생성 촉매는 연쇄 반응을 개시할 수 있어(예: 하나의 광화학적 전환이 여러 화학 반응으로 이어짐), 이로 인해 포토레지스트 감도가 현저하게 향상한다.
진보된 리소그래피 적용분야에서, PEB 설정 온도에 도달하기 위해 요구되는 시간은 CAR 층(layer)에서 산 확산 거리를 제어하는데 중요한 매개 변수가 될 수 있다. PEB 공정에서 가열 시간의 감소는 라인 에지 거칠기(line-edge-roughness, LER) 제어와 같은 CD 제어를 개선할 수 있다. 종래의 저항성 히터 블록 설계는 높은 열전도율의 물질을 갖는 히터 베이스에 내장된 저항성 발열체를 포함할 수 있다. 종래의 저항성 히터 블록 설계는 진보된 리소그래피의 PEB 공정에 여러 한계점을 가질 수 있다. 히터 베이스 물질의 열 질량이 낮으면, 뜨거운 히터 블록 표면에 차가운 워크피스를 배치할 때 히터 블록 온도에서 초기 온도가 몇 도 감소할 수 있다. 또한, 종래의 히터 블록 제어는 저항성 발열체 전원 입력을 위한 동적 온도 제어 알고리즘을 채택하여, 빠른 응답 및 정밀한 제어의 요구 사이에서 균형을 이루어야 한다. 결과적으로, 종래 히터 블록의 응답은 PEB 설정 온도를 초과하지 않도록 차가운 워크피스를 배치할 때 초기 히터 블록 온도 강하를 바로잡기 위해 느려질 수 있다. 또한, 워크피스와 히터 블록 사이의 물리적 접촉만 있으면 워크피스가 저항성 히터 블록과 열 평형에 도달하기 위해 시간이 소요된다. 결과적으로, PEB 공정을 위한 종래 저항성 히터 기술은 상대적으로 긴 시간(예: 15초 초과)동안 필요한 설정 온도까지만 워크피스를 가열할 수 있다. CAR 활성화를 위한 설정에 워크피스 온도의 느린 증가는 PEB 도구 처리량을 제한할 뿐만 아니라, 결과적으로 진보한 리소그래피의 LER 거칠기 제어를 포함하여 CD 제어를 저하할 수도 있다.
본 발명의 구현예의 양상 및 장점은 다음의 설명에서 부분적으로 설명될 것이며, 설명으로부터 습득될 수 있거나, 구현예의 실행으로부터 습득될 수 있다.
본 발명의 하나의 예시적인 양상은 워크피스를 가공하기 위한 방법에 관한 것이다. 이 방법은 프로세싱 챔버에 배치된 워크피스 지지체 상에 포토레지스트 층을 갖는 워크피스를 배치하는 단계를 포함한다. 이 방법은 포토마스크를 통해 포토레지스트를 파장의 광자에 노광시키는 것을 포함한다. 이 방법은 포토레지스트 가열 층을 갖는 워크피스에 대해 노광 후 베이크 가열 공정을 수행하는 것을 포함한다. 노광 후 베이크 가열 공정은 워크피스의 온도가 노광 후 베이크 설정 온도에 도달할 때까지 워크피스 지지체에 배치된 복사 열원(radiant heat source) 및 제2 열원(second heat source) 모두를 사용하여 워크피스를 가열하는 단계를 포함한다.
본 발명의 또 다른 예시적인 양상은 워크피스를 처리하기 위한 방법에 관한 것이다. 이 방법은 포토마스크를 통해 워크피스 상의 포토레지스트 층을 파장의 광자에 노광시키는 단계를 포함한다. 이 방법은 화학적으로 증폭된 레지스트 층을 갖는 워크피스를 프로세싱 챔버 내에 배치된 워크피스 지지체 상에 배치하는 단계를 포함한다. 워크피스 지지체는 하나 이상의 유체 기반 발열체를 포함한다. 이 방법은 노광 후 베이크 설정 온도에서 워크피스 지지체 상의 워크피스 상의 화학적으로 증폭된 레지스트 층에 대해 노광 후 베이크 가열 공정을 수행하는 단계를 포함한다.
다양한 구현예의 이러한 및 다른 특징, 양상 및 장점은 다음의 설명 및 첨부된 청구범위를 참조하여 더 잘 이해될 것이다. 본 명세서에 포함되어 그 일부를 구성하는 첨부된 도면은 본 발명의 구현예를 예시하고, 상세한 설명과 함께 관련 원리를 설명하는 역할을 한다.
통상의 기술자에게 지시된 구현예의 상세한 논의는 첨부된 도면을 참조하는 명세서에 기재되어 있으며, 상기 도면에서:
도 1은 본 발명의 예시적인 구현예에 따른 예시적인 워크피스 처리 장치를 나타낸 것이다.
도 2는 본 발명의 예시적인 구현예에 따른 예시적인 방법의 흐름도를 나타낸 것이다.
도 3은 본 발명의 예시적인 구현예에 따른 유체 기반 노광 후 베이크 공정 동안 워크피스 지지체의 온도의 그래프 표시를 나타낸 것이다.
도 4는 본 발명의 예시적인 구현예에 따른 예시적인 워크피스 처리 장치를 나타낸 것이다.
도 5는 본 발명의 예시적인 구현예에 따른 예시적인 필터를 통한 상이한 파장들의 방사선 투과의 그래프 표시를 나타낸 것이다.
도 6은 본 발명의 예시적인 구현예에 따른 예시적인 방법의 흐름도를 나타낸 것이다.
도 7은 본 발명의 예시적인 구현예에 따른 하이브리드 노광 후 베이크 공정 동안 워크피스의 온도의 그래프 표시를 나타낸 것이다.
도 8은 본 발명의 예시적인 구현예에 따른 예시적인 워크피스 처리 장치를 나타낸 것이다.
이제 도면에 도시된 하나 이상의 예시가 구현예를 상세히 참조할 것이다. 각 예시는 본 발명을 제한하는 것이 아니라, 구현예를 설명하기 위해 제공된다. 사실, 본 발명의 범위 또는 사상을 벗어나지 않고 구현예에 대한 다양한 수정 및 변경이 이루어질 수 있다는 것은 통상의 기술자에게 명백할 것이다. 예를 들어, 일 구현예의 일부로 예시되거나 설명된 특징은 또 다른 구현과 함께 사용되어 추가적인 구현예를 생성할 수 있다. 따라서, 본 발명의 양태는 그러한 수정 및 변경을 포함하는 것으로 의도된다.
본 발명의 예시적인 양태는 화학 증폭형 레지스트 (CAR) 층과 같은 포토레지스트 층을 갖는 워크피스에 대한 노광 후 베이크(PEB) 공정을 구현하기 위한 방법에 관한 것이다. 일부 구현에서, PEB 공정은 반도체 장치 제조 공정에서 리소그래피 공정의 일부일 수 있다.
일부 구현에서, 상기 방법은 CAR 층을 갖는 워크피스에 대한 PEB 공정을 구현하기 위해 워크피스 지지체와 함께 유체 히터를 구현하는 것을 포함할 수 있다. 예를 들어, 워크피스를 처리하는 방법은 프로세싱 챔버 내의 워크피스 지지체 상에 워크피스를 배치하는 것을 포함할 수 있다. 워크피스 지지체는 하나 이상의 유체 발열체를 포함할 수 있다. PEB 가열 공정은 워크피스 지지체 내부의 하나 이상의 유체 발열체(예를 들어, 유체 채널)를 통해 액체와 같은 가열된 유체를 전달하는 것을 포함할 수 있다.
일부 구현예에서, 상기 방법은 워크피스를 약 PEB 설정 온도로 가열하는 것을 포함할 수 있다. PEB 설정 온도는 워크피스의 PEB 공정을 수행하기 위해 공정 레시피, 제어 루틴, 오퍼레이터 입력 등으로 지정된 온도일 수 있다. 일부 구현에서, PEB 설정 온도는 약 60℃ 내지 약 150℃일 수 있다. 상기 방법은 PEB 공정 기간 동안 워크피스 지지체에 있는 하나 이상의 유체 발열체를 사용하여 대략 PEB 설정 온도로 워크피스의 온도를 유지하는 단계를 포함할 수 있다. 이 방법은 PEB 공정 기간의 완료 후에 프로세싱 챔버로부터 워크피스를 제거하는 단계를 포함할 수 있다.
일부 구현예에서, 하나 이상의 유체 발열체는 프로세싱 챔버 외부에 배치된 열교환기로부터 가열된 유체를 수용하도록 구성될 수 있다. 유체는 물, 오일, 글리콜(예를 들어, 에틸렌글리콜) 또는 이들의 혼합물과 같은 작동 액체 유체(working liquid fluid)일 수 있다. 예를 들어, 작동 액체 유체는 PEB 설정 온도가 약 60℃ 내지 약 80℃ 범위에 있을 때 물일 수 있다. 다른 적합한 작동 액체 유체는 임의의 원하는 또는 필요한 PEB 설정 온도에 기초하여 선택될 수 있다.
유체는 워크피스 지지체와 관련된 유체 발열체를 통과하여 워크피스를 가열할 수 있고, 재가열을 위해 열교환기로 다시 출력될 수 있다. 이러한 유체 가열 접근 방식은 플라즈마 공정에서 회수된 열, 저항 가열, 복사 가열 또는 기타 가능한 열을 포함하여 열교환기에 사용할 수 있고 상호교차 가능한 여러 열원을 갖는 장점을 가질 수 있다. 이러한 방식으로, 유체(예를 들어, 액체)는 유체 발열체와 열교환기 사이에서 순환할 수 있다.
본 발명의 이러한 예시적인 구현예들에 따른 방법들은 많은 기술적 효과 및 장점을 가질 수 있다. 예를 들어, 본 발명의 예시적인 구현예에 따른 PEB 가열 공정은 워크피스 지지체를 통해 개선된 가열 균일성을 제공할 수 있다. 워크피스 지지체의 온도는 워크피스 지지체를 통해 순환하는 가열 유체의 보다 높은 열 질량으로 인해 안정성 또한 향상될 수 있다. 워크피스 지지체에 워크피스를 배치하면 종래의 저항 히터 블록 설계에 비해 초기 워크피스 지지체 온도 강하가 작을 수 있다. 또한, 워크피스 지지체에 워크피스를 배치할 때 초기 워크피스 지지체 온도가 강하된 후, 가열 유체 기반 워크피스 지지체 설계는 워크피스 지지체 온도를 PEB 설정 온도로 되돌리는 보다 빠른 램프(ramp)를 제공할 수 있다(예를 들어, 약 15초 미만). 결과적으로, 종래의 히터 블록 설계의 동적 온도 제어와는 달리, 가열 유체 기반 워크피스 지지체 설계를 사용하는 PEB 공정에서 워크피스 지지체 또는 워크피스 온도의 오버슈트(overshoot) 문제도 저감된다.
본 발명의 다른 예시적인 구현예는 제2 열원(예를 들어, 워크피스 지지체 내에 배치된 열원)과 함께 복사 열원(예를 들어, 램프(lamp) 또는 다른 복사 열원)을 사용하여, 적어도 부분적으로 CAR 층과 같은 포토레지스트 층이 있는 워크피스에 대한 PEB 가열 공정을 수행한다. 예를 들어, 이 방법은 프로세싱 챔버 내에 배치된 워크피스 지지체 상에 워크피스를 배치하는 단계를 포함할 수 있다. 일부 구현예에서, 워크피스 지지체는 저항 발열체 또는 액체 유체 기반 발열체와 같은 하나 이상의 가열원(heating source)을 포함할 수 있다. 이 방법은 CAR 층을 사용하여 워크피스에 PEB 가열 공정을 수행하는 것을 포함할 수 있다. PEB 가열 공정은 워크피스의 온도가 PEB 설정 온도에 도달할 때까지 워크피스 지지체와 관련된 가열원(들) 및 복사 열원(예를 들어, 하나 이상의 램프)을 모두 사용하여 CAR 층으로 워크피스를 가열하는 것을 포함할 수 있다. 일부 구현예에서, PEB 설정 온도는 약 60℃ 내지 약 150℃일 수 있다.
일단 워크피스의 온도가 PEB 설정 온도에 도달하면, 이 방법은 주로 PEB 공정 기간 동안 워크피스 지지체와 관련된 가열원(들)을 사용하여 대략 PEB 설정 온도로 워크피스의 온도를 유지하는 단계를 포함할 수 있다. 복사열원은 워크피스의 온도가 PEB 설정 온도에 도달하면 부분적으로 또는 완전히 전원이 차단될 수 있다. 일부 구현예에서, 약 15초 미만에 PEB 설정 온도에 성공적으로 도달할 수 있다. 일부 구현예에서, 약 5초 미만에 PEB 설정 온도에 성공적으로 도달할 수 있다.
복사 열원은 플래시 램프, 아크 램프, 할로겐 램프, 백열 램프 또는 기타 램프 열원(예를 들어, 발광 다이오드(LED) 램프 열원)과 같은 하나 이상의 램프를 포함할 수 있다. 본 발명의 양태는 설명 또는 논의의 목적으로 램프를 참조하여 논의된다. 이에 제한되지 않으나, 고온의 가스 스트림, 하나 이상의 레이저, 입자 빔을 생성하는 열원, RF를 생성하는 열원, 마이크로파를 생성하는 열원 등과 같은 다른 복사 열원을 본 발명의 범위를 벗어나지 않고 복사 열원으로 사용할 수 있다.
일부 구현예에서, 워크피스 지지체와 관련된 하나 이상의 열원은 저항 발열체일 수 있다. 일부 다른 구현예에서, 워크피스 지지체와 관련된 하나 이상의 열원은 하나 이상의 유체 기반 발열체를 포함할 수 있다. 하나 이상의 유체 기반 발열체는 프로세싱 챔버 외부에 배치된 열교환기로부터 가열된 유체를 수용하도록 구성될 수 있다. 유체는 오일, 물, 글리콜(예를 들어, 에틸렌글리콜) 또는 이들의 혼합물(예를 들어, 물 및 글리콜의 혼합물)과 같은 작동 액체 유체일 수 있다. 예를 들어, 작동 액체 유체는 PEB 설정 온도가 약 60℃ 내지 약 80℃ 범위일 때 물일 수 있다. 다른 적합한 작동 액체 유체는 임의의 원하는 또는 필요한 PEB 설정 온도에 기초하여 선택될 수 있다.
본 발명의 예시적인 양태에 따른 방법은 수많은 기술적 장점을 제공한다. 예를 들어, 워크피스 지지체와 관련된 열원(들)과 함께 복사 발열체를 사용하면, 복사 발열체가 없을 때와 비교할 때, 워크피스를 PEB 설정 온도로 더 빨리 가열할 수 있다. 일부 구현예에서, 워크피스 온도는 15초 미만에 PEB 설정 온도까지 증가될 수 있다. 일부 구현예에서, 워크피스 온도는 5초 미만에 PEB 설정 온도까지 증가될 수 있다. 따라서, CAR 층의 산(acid) 확산 거리는 PEB 공정 중에 보다 엄격하게 제어될 수 있다.
본 발명의 양태는 설명 및 논의를 위해 “웨이퍼” 또는 반도체 웨이퍼를 참조하여 논의된다. 본 발명에 개시된 내용을 사용하는 통상의 기술자는 본 발명의 예시적인 양태가 임의의 반도체 기판 또는 다른 적합한 기판과 관련하여 사용될 수 있음을 이해할 것이다. 워크피스는 하나 이상의 장치 구조, 필름 또는 층을 포함할 수 있는 장치 측면을 포함할 수 있음을 이해해야 한다. 워크피스는 장치 구조를 포함할 수 없는 대향하는 비-장치 측면을 또한 포함할 수 있다. 본 발명에서, 수치와 관련하여 용어 “약” 또는 “대략”의 사용은 언급된 수치의 10% 이내를 지칭하는 것으로 의도된다.
도 1은 본 발명의 예시적인 구현예에 따른 포토레지스트 층(예를 들어, CAR 층)을 갖는 워크피스 상에 PEB 가열 공정을 수행하기 위해 사용될 수 있는 예시적인 워크피스 처리 장치(100)를 도시한다. 워크피스 처리 장치(100)는 프로세싱 챔버(110)를 포함할 수 있다. 프로세싱 챔버(110)는 반도체 웨이퍼와 같은 처리될 워크피스(130)를 지지하도록 작동 가능한 워크피스 지지체(120)를 포함할 수 있다. 워크피스 지지체(120)는 워크피스 지지체(120)의 온도를 조절하기 위한 하나 이상의 액체 유체 기반 발열체(들)(125)를 포함할 수 있다. 일부 구현예에서, 워크피스(130)는 워크피스(130)의 비-장치 측면(132)이 액체 유체 기반 발열체(들)(125)와 접촉하도록 액체 유체 기반 발열체(들) 상에 배치될 수 있다. 추가로 도시된 바와 같이, 워크피스(130)는 워크피스(130)의 장치 측(134) 상에 배치된 CAR 층(136)을 포함한다.
일부 구현예에서, 워크피스 처리 장치(100)는 유체 기반 발열체(들)(125)와 유체 연결되는 열교환기(126)를 더 포함할 수 있다. 열교환기(126)는 가열 유체를 유체 발열체(들)(125)의 유체 입력부(122)로 전달하도록 구성될 수 있다. 유사하게, 열교환기는 유체 발열체(들)(125)의 유체 출력부(124)로부터 유체를 수용하도록 구성될 수 있다. 이러한 방식으로, 유체(예를 들어, 액체)는 유체 발열체(125)와 열교환기(126) 사이에서 순환될 수 있다.
일부 구현예에서, 유체는 물, 오일, 글리콜 또는 이들의 혼합물로 이루어진 군으로부터 선택되는 작동 액체 유체이다. 일부 구현예에서, 작동 액체 유체는 에틸렌글리콜이다. 일부 구현예에서, 작동 액체 유체는 특히 PEB 설정 온도의 특정 목표 작동 온도를 위해 선택된다. 예를 들어, 작동 유체는 PEB 설정 온도가 약 60℃ 내지 약 80℃ 범위일 때 물일 수 있다. 다른 적합한 작동 유체는 임의의 원하는 또는 필요한 PEB 가열 설정 온도에 기초하여 선택될 수 있다.
일부 구현예에서, 워크피스 처리 장치(100)는 열교환기(126)에 작동 가능하게 결합되는 제어장치(160)를 포함할 수 있다. 제어장치(160)는 예를 들어, 작동 유체의 온도를 증가 또는 감소시키는 것을 포함하여, 열교환기(126)의 작동 및/또는 하나 이상의 유체 발열체(들)(125)로의 유체의 흐름을 제어하기 위한 하나 이상의 제어밸브를 제어하도록 구성될 수 있다.
제어장치(160)는 열교환기(126)에 열을 제공하는 열원(127)을 또한 선택적으로 제어할 수 있다. 일부 구현예에서, 열원(127)은 저항성 발열체를 포함한다. 일부 구현예에서, 열원(127)은 폐열 또는 재생열(예를 들어, 플라즈마 소스로부터)을 제공한다. 다른 열원(127)은 본 발명의 범위를 벗어나지 않고 사용될 수 있다.
일부 구현예에서, 제어장치(160)는 워크피스(130) 및/또는 워크피스 지지체(120)의 온도를 나타내는 온도 센서(165)로부터 수신된 신호에 기초하여 제어 동작(예를 들어, 본 명세서에서 논의된 임의의 동작)을 수행할 수 있다. 온도 센서(165)는 워크피스(130) 및/또는 워크피스 지지체(120)의 온도를 추정하기 위하여 처리될 수 있는 측정값을 얻도록 구성된 임의의 적절한 센서(예를 들어, 접촉 또는 비접촉)일 수 있다. 온도 센서(165)로부터의 신호는 노광 후 베이크(PEB) 공정 동안 워크피스(130) 온도의 폐쇄 루프 제어를 위해 사용될 수 있다.
일부 구현예에서, 제어장치(160)는 다양한 컴퓨터 구현예 기능을 수행하도록 구성된 프로세서 및 관련 메모리를 포함할 수 있다. 본 명세서에서 사용되는 용어 "프로세서(processor)"는 당 업계에서 컴퓨터에 포함된 것으로 언급되는 집적 회로를 지칭할 뿐만 아니라, 컨트롤러(controller), 마이크로컨트롤러(microcontroller), 마이크로 컴퓨터(microcomputer), 프로그래밍 가능한 로직 컨트롤러(programmable logic controller, PLC), 어플리케이션 특이적 집적 회로(application specific integrated circuit, ASIC), 필드 프로그래밍 가능한 게이트 어레이(field programmable gate array, FPGA) 및 기타 프로그래밍 가능한 회로(programmable circuits)를 지칭하기도 한다. 추가적으로, 메모리는 컴퓨터 판독 가능 매체(예를 들어, 랜덤 액세스 메모리 (RAM)), 컴퓨터 판독 가능 비휘발성 매체(예를 들어, 플래시 메모리) 및/또는 기타 적합한 메모리 요소 또는 이들의 조합을 포함하지만, 이에 제한되지 않는다. 추가적으로, 제어장치(160)는 통신 인터페이스를 포함할 수 있다. 일부 구현예예에서, 통신 인터페이스는 데이터를 송수신하는데 사용되는 관련 전자 회로를 포함할 수 있다.
도 2는 본 발명의 예시적인 구현예에 따라 워크피스의 CAR 층 상에 유체 기반 PEB 가열 공정을 구현예하기 위한 예시적인 방법(200)의 흐름도를 도시한다. 도 2는 설명 및 논의를 위해 특정 순서로 수행되는 단계를 도시한다. 본 명세서에 제공된 개시 내용을 사용하여 통상의 기술자는 본 명세서에 설명된 다중 사이클 열 처리 공정의 다양한 단계가 본 발명의 범위를 벗어나지 않는 범위에서 다양한 방식으로 생략, 확장, 동시 수행, 재배열 및/또는 변경 가능하다는 것을 이해할 것이다. 또한, 본 발명의 범위를 벗어나지 않는 범위에서 다양한 추가적인 단계(미도시)가 수행될 수 있다.
(202)에서, 방법(200)은 프로세싱 챔버 내에 배치된 워크피스 지지체 상에 워크피스를 배치하는 단계를 포함할 수 있다. 예를 들어, 워크피스(130)는 워크피스 지지체(120) 상에 배치될 수 있다. 워크피스 지지체(120)는 하나 이상의 유체 기반 발열체(들)(125)을 포함할 수 있다. 각각의 유체 기반 발열체(125)는 예를 들어, 액체 유체와 같은 유체의 흐름을 위한 채널을 포함할 수 있다.
(204)에서, 방법(200)은 포토마스크를 통해 워크피스 상의 레지스트 층의 노광을 수행하는 단계를 포함할 수 있다. 예를 들어, 포토마스크는 워크피스의 적어도 일부에 에칭될 패턴을 포함할 수 있다. 포토마스크는 워크피스에 에칭될 패턴과 동일한 스케일의 패턴을 포함할 수 있다. 추가적 및/또는 대안적으로, 포토마스크는 더 큰 패턴 및/또는 더 작은 패턴과 같이, 워크피스 상에 에칭될 패턴과 다른 스케일의 패턴을 포함할 수 있다. 포토마스크 및 레지스트 층은 방사선에 노광되어 포토마스크 상의 패턴을 레지스트 층 상에 에칭할 수 있다. 선택적 파장의 방사선에 포토레지스트의 추가 노광(예를 들어, 포토마스크 상의 패턴을 포토레지스트 층으로 전달하는데 사용되는 선택적 파장의 방사선 이외의 방사선에 대한 노광)은 PEB 공정에서 피할 수 있다.
(206)에서, 방법(200)은 워크피스 내의 하나 이상의 유체 발열체를 사용하여 유체 기반 PEB 가열 공정을 수행하는 단계를 포함할 수 있다. 예를 들어, PEB 가열 공정은 워크피스의 온도가 PEB 설정 온도에 도달할 때까지 워크피스 지지체와 관련된 유체 발열체를 통해 가열된 유체를 전달하는 것을 포함할 수 있다. 일부 구현예에서, PEB 설정 온도는 약 60℃ 내지 약 150℃일 수 있다. 일부 구현예에서, 워크피스는 약 15초 미만, 예를 들면 약 5초 미만 내에 PEB 설정 온도로 가열될 수 있다.
예시로서, 도 1을 참조하면, 열교환기(126)는 워크피스 지지체에서 유체 발열체(들)(125)와 유체 연결될 수 있다. 열교환기(126)는 유체 발열체(들)(125)의 유체 입력부(122)로 가열 유체를 전달하도록 구성될 수 있다. 유사하게, 열교환기는 유체 발열체(들)(125)의 유체 출력부(124)로부터 유체를 수용하도록 구성된다. 이러한 방식으로, 유체는 유체 발열체(들)(125)와 열교환기(126) 사이의 유체 연결에서 순환될 수 있다.
일부 구현예에서, 유체는 물, 오일, 글리콜 또는 이들의 혼합물로 이루어진 군으로부터 선택된 작동 액체 유체이다. 일부 구현예에서, 작동 액체 유체는 에틸렌글리콜이다. 다른 구현예에서, 작동 유체는 PEB 설정 온도의 특정 목표 작동 온도를 위해 특별히 선택된다. 예를 들어, 작동 유체는 PEB 설정 온도가 약 60℃ 내지 약 80℃ 범위일 때 물일 수 있다. 다른 적합한 작동 유체는 임의의 원하는 또는 필요한 PEB 설정 온도에 기초하여 선택될 수 있다.
일부 구현예에서, 열교환기(126)는 저항 발열체로부터 열을 수용한다. 일부 구현예에서, 열교환기(126)는 폐열 또는 재생열을 수용한다. 열교환기(126)를 위한 다른 열원(예를 들어, 플라즈마 열원)도 적용 가능하다.
(208)에서, 방법(200)은 유체 히터를 사용하여 워크피스의 PEB 설정 온도를 유지하는 단계를 포함할 수 있다. 예를 들어, 유체 히터(들)는 PEB 공정 기간 동안 실질적으로 일정한 온도에서 가열된 유체를 순환시킬 수 있다. PEB 공정 기간의 길이는 층(136)의 타입 및 다양한 다른 요인에 따라 변할 수 있다. (210)에서, PEB 공정 기간이 완료되면, 방법(200)은 프로세싱 챔버로부터 워크피스를 제거하는 단계를 포함할 수 있다.
도 3은 본 발명의 예시적인 구현예에 따른 유체 기반 PEB 가열 공정 동안 시간의 함수로서 워크피스 지지체 온도의 그래프 표시를 도시한다. 도 3에서 가로축은 시간을, 세로축은 워크피스 지지체 온도를 나타낸다. 곡선(410)은 저항 발열체를 포함하는 워크피스 지지체에 대한 워크피스 지지체 온도를 나타낸다. 상기 논의한 바와 같이, 보다 차가운 워크피스가 워크피스 지지체의 뜨거운 표면에 처음 접촉할 때, 워크피스 지지체 온도가 크게 강하할 수 있다. 이로 인해 PEB 설정 온도에 도달하기 위한 가열 시간이 길어질 수 있다. 가열 시간이 길어지면 워크피스의 CAR 층에 대한 산 확산 거리가 길어지고 CD 제어가 불량해질 수 있다.
곡선(412)은 본 발명의 예시적인 구현예에 따른 하나 이상의 유체 발열체를 포함하는 워크피스 지지체에 대한 워크피스 지지체 온도를 나타낸 것이다. 저항 발열체를 포함하는 워크피스 지지체에 대한 워크피스 지지체 온도에 대한 곡선(410)과 비교하여, 워크피스가 워크피스 지지체에 처음 접촉할 때 워크피스 지지체의 초기 온도 강하는 하나 이상의 유체 발열체에 통합된 워크피스 지지체에 대한 곡선(412)에서 감소한다. 이로 인해 워크피스의 온도가 PEB 설정 온도에 도달하는데 걸리는 시간이 보다 빨라질 수 있다(예를 들어, 약 15초 미만). 따라서, 워크피스에서 CAR 층의 산 확산 거리를 보다 엄격하게 제어할 수 있다.
도 4는 본 발명의 예시적인 구현예에 따른 포토레지스트 층들(예를 들어, CAR 층들)을 갖는 워크피스 상에 PEB 공정을 수행하기 위해 사용될 수 있는 워크피스 처리 장치(300)를 도시한다. 예시된 바와 같이, 워크피스 처리 장치(300)는 프로세싱 챔버(110)를 포함한다. 프로세싱 챔버(110)는 처리될 워크피스를 지지하도록 작동 가능한 워크피스 지지체(320)를 포함할 수 있다. 워크피스 지지체(320)는 저항 발열체와 같은 하나 이상의 발열체(325)를 포함할 수 있다. 일부 구현예에서, 워크피스(130)는 워크피스(130)의 비-장치 측면(132)이 히터(320)와 접촉하도록 워크피스 지지체(320) 상에 배치될 수 있다. 추가로 도시된 바와 같이, 워크피스(130)는 워크피스(130)의 장치 측면(134)에 배치된 CAR 층(136)을 포함한다.
일부 구현예에서, 워크피스 처리 장치(300)는 워크피스(130) 상으로 에너지를 방출하도록 구성된 하나 이상의 램프(340)를 포함할 수 있다. 보다 구체적으로, 하나 이상의 램프(340)는 워크피스(130)의 CAR 층(136)에 빛을 방출하여 CAR 층에 PEB 공정을 적어도 부분적으로 구현한다.
램프(340) 각각은 예를 들어, 아크 램프, 할로겐 램프, 백열 램프 또는 다른 램프 열원(예를 들어, 발광 다이오드(LED) 램프 열원)일 수 있다. 본 발명의 양태는 설명 및 논의의 목적으로 램프(340)를 참조하여 논의된다. 본 명세서에 제공된 개시 내용을 사용하여 통상의 기술자는 임의의 복사 열원이 램프(340) 대신에 사용될 수 있음을 이해할 것이다.
포토리소그래피에서, 워크피스 상의 CAR 층과 같은 포토레지스트 층은 패턴화된 포토마스크를 통해 선택적 파장의 방사선에 노광되어 포토마스크 상의 패턴을 포토레지스트 층으로 전사한다. 예를 들어, 포토마스크는 워크피스의 적어도 일부에 에칭될 패턴을 포함할 수 있다. 포토마스크는 워크피스에 에칭될 패턴과 동일한 스케일의 패턴을 포함할 수 있다. 추가적 및/또는 대안적으로, 포토마스크는 보다 큰 패턴 및/또는 보다 작은 패턴과 같이, 워크피스 상에 에칭될 패턴과 다른 스케일의 패턴을 포함할 수 있다. 선택적 파장의 방사선에 포토레지스트의 추가 노광(예를 들어, 포토마스크상의 패턴을 포토레지스트 층으로 전달하는 데 사용되는 선택적 파장의 방사선 이외의 방사선에 대한 노광)은 PEB 공정에서 피해야 한다.
일부 구현예에서, 필터(350)는 램프(340)와 워크피스(130) 사이에 배치될 수 있다. 필터는 파장 대역(band of wavelengths) 내의 방사선에 대해 적어도 부분적으로 불투명할 수 있다. 예를 들어, 필터는 필터에 입사되는 파장 대역의 파장을 갖는 광자의 적어도 일부(예를 들어, 램프(340)로부터의 광자)가 필터를 통과하는 것을 차단할 수 있다. 따라서, 필터는 대역(band)의 방사선을 적어도 부분적으로 차단하여, 포토레지스트 층이 대역의 방사선에 노광되는 것을 방지할 수 있다.
도 5는 광 파장의 함수로서 예시적인 필터를 통한 광 투과의 그래프 표시(400)를 도시한다. 예를 들어, 파장은 세로축일 수 있고, 투과율(예를 들어, 입사 방사선의 백분율)은 가로축일 수 있다. 도 5에서 볼 수 있는 바와 같이, 필터는 한 파장의 방사선(예를 들어, 세로축 우측 끝 근처의 방사선)에 대해 실질적으로 투명하고, 다른 파장의 방사선(예를 들어, 세로축 좌측 끝 근처의 방사선)에 대해 실질적으로 불투명할 수 있다.
일부 구현예에서, 워크피스 처리 장치(300)는 워크피스 지지체(320)에서 하나 이상의 램프(340) 및/또는 하나 이상의 발열체(325)에 작동 가능하게 결합되는 제어장치(160)를 포함할 수 있다. 이러한 방식에서, 제어장치(160)는 하나 이상의 램프(340) 및/또는 하나 이상의 발열체(325)의 작동을 제어하도록 구성될 수 있다.
제어장치(160)는 또한 열교환기(126)에 열을 제공하는 열원(127)을 선택적으로 제어할 수 있다. 일부 구현예에서, 열원(127)은 저항성 발열체를 포함한다. 일부 구현예에서, 열원(127)은 폐열 또는 재생열(예를 들어, 플라즈마 소스로부터)을 제공한다. 다른 열원(127)은 본 발명의 범위를 벗어나지 않고 사용될 수 있다.
일부 구현예에서, 제어장치(160)는 워크피스(130) 및/또는 워크피스 지지체(120)의 온도를 나타내는 온도 센서(165)로부터 수신된 신호에 기초하여 제어 동작(예를 들어, 본 명세서에서 논의된 임의의 동작)을 수행할 수 있다. 온도 센서(165)는 워크피스(130) 및/또는 워크피스 지지체(120)의 온도를 추정하기 위해 처리될 수 있는 온도 측정을 수행하도록 구성된 임의의 적절한 센서(예를 들어, 접촉 또는 비접촉)일 수 있다. 온도 센서(165)로부터의 신호는 노광 후 베이크 공정 동안 워크피스(130) 온도의 폐쇄 루프 제어를 위해 사용될 수 있다. 예를 들어, 제어장치(160)는 온도 센서로부터의 신호가 워크피스(130)의 온도가 PEB 설정 온도에 도달함을 나타낼 때 하나 이상의 램프(340)의 전원을 차단할 수 있다.
일부 구현예에서, 제어장치(160)는 다양한 컴퓨터 구현예 기능을 수행하도록 구성된 프로세서 및 관련 메모리를 포함할 수 있다. 본 명세서에서 사용되는 용어 "프로세서(processor)"는 당 업계에서 컴퓨터에 포함된 것으로 언급되는 집적 회로를 지칭할 뿐만 아니라, 컨트롤러(controller), 마이크로컨트롤러(microcontroller), 마이크로 컴퓨터(microcomputer), 프로그래밍 가능한 로직 컨트롤러(programmable logic controller, PLC), 어플리케이션 특이적 집적 회로(application specific integrated circuit, ASIC), 필드 프로그래밍 가능한 게이트 어레이(field programmable gate array, FPGA) 및 기타 프로그래밍 가능한 회로(programmable circuits)를 지칭하기도 한다. 추가적으로, 메모리는 컴퓨터 판독 가능 매체(예를 들어, 랜덤 액세스 메모리 (RAM)), 컴퓨터 판독 가능 비-휘발성 매체 (예를 들어, 플래시 메모리) 및/또는 기타 적합한 메모리 요소 또는 이들의 조합을 포함하지만, 이에 제한되지 않는다. 추가적으로, 제어장치(160)는 통신 인터페이스를 포함할 수 있다. 일부 구현예예에서, 통신 인터페이스는 데이터를 송수신하는데 사용되는 관련 전자 회로를 포함할 수 있다.
도 6은 본 발명의 예시적인 양태에 따라 워크피스를 처리하기 위한 예시적인 방법(500)의 흐름도를 도시한다. 방법(500)은 도 4를 참조하여 상기 논의된 워크피스 처리 장치(300)를 사용하여 구현될 수 있다. 그러나, 방법(500)은 본 발명의 범위를 벗어나지 않고 다른 접근법을 이용하여 구현될 수 있다는 것을 이해해야 한다.
도 6은 설명 및 논의의 목적으로 특정 순서로 수행되는 단계를 나타낸 것임을 이해해야 한다. 그러나, 본 명세서의 개시 내용을 사용하여 통상의 기술자는 본 명세서에 설명된 방법(500)의 다양한 단계가 본 발명의 범위를 벗어나지 않는 범위에서 다양한 방식으로 생략, 확장, 동시 수행, 재배열 및/또는 변경 가능하다는 것을 이해할 것이다. 또한, 본 발명의 범위를 벗어나지 않는 범위에서 다양한 추가적인 단계(미도시)가 수행될 수 있다.
(502)에서, 방법(500)은 프로세싱 챔버 내에 배치된 발열체 상에 워크피스를 배치하는 단계를 포함할 수 있다. 예를 들어, 워크피스(130)는 워크피스 지지체(320) 상에 배치될 수 있다. 워크피스 지지체(320)는 하나 이상의 발열체(325)(예를 들어, 저항 발열체 또는 유체 기반 발열체)를 포함할 수 있다.
(504)에서, 방법(500)은 포토마스크를 통해 워크피스 상의 레지스트 층의 노광을 수행하는 단계를 포함할 수 있다. 예를 들어, 포토마스크는 워크피스의 적어도 일부에 에칭될 패턴을 포함할 수 있다. 포토마스크는 워크피스에 에칭될 패턴과 동일한 스케일의 패턴을 포함할 수 있다. 추가적 및/또는 대안적으로, 포토마스크는 보다 큰 패턴 및/또는 보다 작은 패턴과 같이, 워크피스 상에 에칭될 패턴과 다른 스케일의 패턴을 포함할 수 있다. 포토마스크 및 레지스트 층은 방사선에 노광되어 포토마스크 상의 패턴을 레지스트 층 상에 에칭할 수 있다. 선택적 파장의 방사선에 포토레지스트의 추가 노광(예를 들어, 포토마스크 상의 패턴을 포토레지스트 층으로 전달하는데 사용되는 선택적 파장의 방사선 이외의 방사선에 대한 노광)은 PEB 공정에서 피해야 한다.
(506)에서, 방법(500)은 워크피스에 대해 하이브리드 PEB 가열 공정을 수행하는 단계를 포함할 수 있다. 하이브리드 PEB 가열 공정은 워크피스 온도가 PEB 설정 온도로 초기 상승하는 동안 워크피스 지지체와 관련된 발열체 및 복사 가열(예를 들어, 램프)을 모두 사용하는 것을 포함할 수 있다. 워크피스 온도가 대략 PEB 설정 온도에 도달하면, 워크피스 지지체와 관련된 발열체를 사용하여 워크피스 온도를 대략 PEB 설정 온도로 유지할 수 있다.
예를 들어, 워크피스 온도를 PEB 설정 온도로 초기 상승시키는 동안, 발열체(325)(도 4) 및 램프 열원(340) 모두가 워크피스를 가열하는데 사용될 수 있다. 워크피스의 온도가 대략 PEB 설정 온도에 있으면, 발열체(325)는 워크피스 온도를 대략 PEB 설정 온도로 유지하는데 사용될 수 있다.
이와 관련하여 (508)에서, 방법(500)은 PEB 처리 기간 동안 제2 열원을 사용하여 워크피스의 PEB 설정 온도를 유지하는 단계를 포함할 수 있다. 일부 구현예에서, 램프(340)는 전원이 차단될 수 있고, 제2 열원은 PEB 설정 온도가 PEB 공정 전체에 걸쳐 유지되도록 작동될 수 있다.
(510)에서, 방법(500)은 PEB 공정 기간이 완료된 후 프로세싱 챔버로부터 워크피스를 제거하는 단계를 포함할 수 있다. PEB 공정 기간은 레지스트 타입(예를 들어, CAR 층(136)) 및 다양한 기타 요인에 따라 달라질 수 있다.
도 7은 본 발명의 예시적인 구현예에 따른 하이브리드 PEB 가열 공정 동안 시간의 함수로서 워크피스 온도의 그래프 표시를 도시한다. 도 7은 가로축에 시간을, 세로축에 워크피스 온도를 표시한다. 곡선(610)은 워크피스 지지체에 통합된 발열체만을 사용하여 워크피스를 가열할 때 워크피스 온도를 도시한다. 곡선(620)은 본 발명의 예시적인 구현예에 따른 하이브리드 PEB 가열 공정 동안의 워크피스 온도를 도시한다. 도시된 바와 같이, 하이브리드 PEB 가열 공정은 워크피스의 온도가 PEB 설정 온도에 도달하는데 보다 빠른 시간(예를 들어, 15초 미만, 5초 미만)으로 이어질 수 있다. 따라서, CAR 층의 산 확산 거리를 더 엄격하게 제어할 수 있다.
도 6 및 도 7은 복사 열원(예를 들어, 램프)와 함께 워크피스 지지체의 발열체를 사용하는 하이브리드 PEB 가열 공정과 관련하여 논의되었다. 본 발명의 예시적인 구현예에 따른 하이브리드 PEB 가열 공정은 워크피스 지지체에서 저항 발열체를 사용하여 구현될 수 있다. 본 발명의 예시적인 구현예에 따른 하이브리드 PEB 가열 공정은 워크피스 지지체의 유체 기반 발열체를 사용하여 또한 구현될 수 있다.
도 8은 본 발명의 예시적인 구현예에 따른 공정들을 수행하는데 사용될 수 있는 워크피스 처리 장치(700)를 나타낸 것이다. 워크피스 처리 장치(700)는 프로세싱 챔버(110)를 포함할 수 있다. 프로세싱 챔버(110)는 반도체 웨이퍼와 같은 처리될 워크피스(130)를 지지하도록 작동 가능한 워크피스 지지체(120)를 포함할 수 있다. 워크피스 지지체(120)는 워크피스 지지체(120)의 온도를 조절하기 위한 하나 이상의 유체 기반 발열체(들)(125)를 포함할 수 있다. 일부 구현예에서, 워크피스(130)는 워크피스(130)의 비-장치 측면(132)이 워크피스 지지체(120)와 접촉하도록 유체 기반 발열체(들)(125)에 배치될 수 있다. 추가로 도시된 바와 같이, 워크피스(130)는 워크피스(130)의 장치 측(134) 상에 배치된 CAR 층(136)을 포함한다.
일부 구현예에서, 워크피스 처리 장치(700)는 유체 기반 발열체(들)(125)와 유체 연결되는 열교환기(126)를 더 포함할 수 있다. 열교환기(126)는 유체 기반 발열체(들)(125)의 유체 입력부(122)로 가열 유체를 전달하도록 구성될 수 있다. 유사하게, 열교환기는 유체 기반 발열체(들)(125)의 유체 출력부(124)로부터 유체를 수용하도록 구성될 수 있다. 이러한 방식으로, 유체(예를 들어, 액체)는 유체 발열체(들)(125)와 열교환기(126) 사이의 유체 연결에서 순환될 수 있다.
일부 구현예에서, 유체는 물, 오일, 글리콜 또는 이들의 혼합물로 이루어진 군으로부터 선택된 작동 액체 유체이다. 일부 구현예에서, 작동 액체 유체는 에틸렌글리콜이다. 일부 구현예에서, 작동 유체는 PEB 설정 온도의 특정 목표 작동 온도를 위해 특별히 선택된다. 예를 들어, 작동 유체는 PEB 설정 온도가 약 60℃ 내지 약 80℃ 범위일 때 물일 수 있다. 다른 적합한 작동 액체 유체는 임의의 원하는 또는 필요한 PEB 설정 온도에 기초하여 선택될 수 있다.
램프(340)는 예를 들어, 아크 램프, 할로겐 램프, 백열 램프 또는 기타 램프 열원(예를 들어, 발광 다이오드(LED) 램프 열원)일 수 있다. 본 발명의 양태는 설명 또는 논의의 목적으로 램프(340)를 참조하여 논의된다. 이에 제한되지 않으나, 고온 벽 환경, 핫플레이트(hot plate) 또는 서셉터(susceptor), 고온의 가스 스트림, 복사 열원, 예를 들어, 레이저, 입자 빔을 생성하는 열원, RF를 생성하는 열원, 또는 마이크로파를 생성하는 열원과 같은 다른 열원을 본 발명의 범위를 벗어나지 않고 복사 열원으로 사용할 수 있다.
일부 구현예에서, 필터(350)는 램프(340)와 워크피스(130) 사이에 배치된다. 필터는 파장 대역(band of wavelengths) 내의 방사선에 적어도 부분적으로 투명할 수 있다. 예를 들어, 필터는 필터에 입사되는 파장 대역(band of wavelengths)의 파장을 갖는 광자(예를 들어, 램프(340)로부터의 광자)의 적어도 일부가 필터를 통과하는 것을 차단할 수 있다. 따라서, 필터는 대역(band) 내의 방사선을 적어도 부분적으로 차단하여 포토레지스트 층이 대역(band) 내의 방사선에 노광되는 것을 방지할 수 있다.
일부 구현예에서, 워크피스 처리 장치(300)는 하나 이상의 램프(340) 및 열교환기(126)에 작동 가능하게 결합되는 제어장치(160)를 포함할 수 있다. 이러한 방식으로, 제어장치(160)는 하나 이상의 램프(340) 의 작동을 제어할 수 있다. 제어장치(160)는 또한 예를 들어 작동 유체의 온도를 증가 또는 감소시키는 것을 포함하여 열교환기(126)의 작동을 제어하도록 구성될 수 있다. 제어장치는 또한 열교환기(126)에 열을 제공하는 열원을 선택적으로 제어할 수 있다.
제어장치(160)는 또한 열교환기(126)에 열을 제공하는 열원(127)을 선택적으로 제어할 수 있다. 일부 구현예에서, 열원(127)은 저항성 발열체를 포함한다. 일부 구현예에서, 열원(127)은 폐열 또는 재생열(예를 들어, 플라즈마 소스로부터)을 제공한다. 다른 열원(127)은 본 발명의 범위를 벗어나지 않고 사용될 수 있다.
일부 구현예에서, 제어장치(160)는 온도 센서(165)로부터 수신된 신호에 기초하여 제어 동작(예를 들어, 본 명세서에서 논의된 임의의 동작)을 수행할 수 있다. 온도 센서(165)는 워크피스(130) 및/또는 워크피스 지지체(120)의 온도를 추정하기 위하여 처리될 수 있는 측정값을 얻도록 구성된 임의의 적절한 센서(예를 들어, 접촉 또는 비접촉)일 수 있다. 온도 센서(165)로부터의 신호는 노광 후 베이크(PEB) 공정 동안 워크피스(130) 온도의 폐쇄 루프 제어를 위해 사용될 수 있다. 예를 들어, 제어장치(160)는 온도 센서로부터의 신호가 워크피스(130)의 온도가 PEB 설정 온도에 도달함을 나타낼 때 하나 이상의 램프(340)의 전원을 차단할 수 있다.
일부 구현예에서, 제어장치(160)는 다양한 컴퓨터 구현 기능을 수행하도록 구성된 프로세서 및 관련 메모리를 포함할 수 있다. 본 명세서에서 사용되는 용어 "프로세서(processor)"는 당 업계에서 컴퓨터에 포함된 것으로 언급되는 집적 회로를 지칭할 뿐만 아니라, 컨트롤러(controller), 마이크로컨트롤러(microcontroller), 마이크로 컴퓨터(microcomputer), 프로그래밍 가능한 로직 컨트롤러(programmable logic controller, PLC), 어플리케이션 특이적 집적 회로(application specific integrated circuit, ASIC), 필드 프로그래밍 가능한 게이트 어레이(field programmable gate array, FPGA) 및 기타 프로그래밍 가능한 회로(programmable circuits)를 지칭하기도 한다. 추가적으로, 메모리는 컴퓨터 판독 가능한 매체(예를 들어, 랜덤 액세스 메모리 (RAM)), 컴퓨터 판독 가능한 비휘발성 매체 (예를 들어, 플래시 메모리) 및/또는 기타 적합한 메모리 요소 또는 이들의 조합을 포함하지만, 이에 제한되지 않는다. 추가적으로, 제어장치(160)는 통신 인터페이스를 포함할 수 있다. 일부 구현예에서, 통신 인터페이스는 데이터를 송수신하는데 사용되는 관련 전자 회로를 포함할 수 있다.
본 발명은 예시적인 특정 구현예에 대해 상세히 설명하였으나, 통상의 기술자는 상기 내용을 이해하면 구현예에 대한 변경, 변형 및 등가물을 쉽게 생성할 수 있다는 것을 이해할 것이다. 따라서, 본 발명의 범위는 예시일 뿐 이에 제한되지 않으며, 본 발명은 통상의 기술자가 용이하게 알 수 있는 본 주제에 대한 변경(modification), 변형(variation) 및/또는 부가사항(addition)의 포함을 배제하지 않는다.

Claims (20)

  1. 프로세싱 챔버 내에 배치된 워크피스 지지체 상에 포토레지스트 층을 갖는 워크피스를 배치하는 단계;
    포토마스크를 통해 포토레지스트를 파장의 광자에 노광시키는 단계;
    포토레지스트 층을 갖는 워크피스에 노광 후 베이크(post exposure bake, PEB) 가열 공정을 수행하는 단계를 포함하고,
    상기 노광 후 베이크 가열 공정은 워크피스의 온도가 노광 후 베이크 설정 온도(setpoint temperature)에 도달할 때까지 워크피스 지지체에 배치된 복사 열원 및 제2 열원을 모두 사용하여 워크피스를 가열하는 단계를 포함하는, 워크피스 처리 방법.
  2. 제1항에 있어서,
    상기 노광 후 베이크 가열 공정은 약 15초 미만 동안 워크피스를 대략적인 노광 후 베이크 설정 온도로 가열하는, 워크피스 처리 방법.
  3. 제1항에 있어서,
    상기 노광 후 베이크 가열 공정은 약 5초 미만 동안 워크피스를 대략적인 노광 후 베이크 설정 온도로 가열하는, 워크피스 처리 방법.
  4. 제1항에 있어서,
    제2 열원에 의한 워크피스의 가열을 유지하면서 워크피스가 노광 후 베이크 설정 온도에 도달하면 하나 이상의 복사 열원의 전원을 차단하는 단계를 더 포함하는, 워크피스 처리 방법.
  5. 제1항에 있어서,
    상기 노광 후 베이크 설정 온도는 약 60℃ 내지 약 150℃인, 워크피스 처리 방법.
  6. 제1항에 있어서,
    상기 복사 열원은 하나 이상의 백열 램프(incandescent lamp)를 포함하는, 워크피스 처리 방법.
  7. 제1항에 있어서,
    상기 노광 후 베이크 가열 공정에서 복사 열원과 워크피스 사이에 필터가 배치되고, 상기 필터는 파장의 광자(photon)의 투과에 대해 적어도 부분적으로 불투명한 것인, 워크피스 처리 방법.
  8. 제1항에 있어서,
    상기 복사 열원은 하나 이상의 발광 다이오드(light emitting diode)를 포함하는, 워크피스 처리 방법.
  9. 제1항에 있어서,
    상기 제2 열원은 워크피스 지지체에 배치된 하나 이상의 저항 발열체(resistive heating element)를 포함하는, 워크피스 처리 방법.
  10. 제1항에 있어서,
    상기 제2 열원은 워크피스 지지체에 배치된 하나 이상의 유체 기반 발열체(fluid-based heating element)를 포함하는, 워크피스 처리 방법.
  11. 제10항에 있어서,
    상기 제2 열원의 상기 하나 이상의 유체 기반 발열체는 열교환기(heat exchanger)와 유체 연결되고, 노광 후 베이크 가열 공정에서 제2 열원의 하나 이상의 유체 기반 발열체와 열교환기 사이에서 유체가 순환하는, 워크피스 처리 방법.
  12. 제11항에 있어서,
    상기 유체가 물, 오일 및 글리콜 중 하나 이상을 포함하는 작동 액체 유체(working liquid fluid)인, 워크피스 처리 방법.
  13. 제1항에 있어서,
    상기 포토레지스트 층은 화학 증폭형 레지스트 층(chemically amplified resist layer)인, 워크피스 처리 방법.
  14. 포토마스크를 통해 워크피스 상의 포토레지스트 층을 파장의 광자에 노광시키는 단계;
    프로세싱 챔버 내에 배치된, 하나 이상의 유체 기반 발열체를 포함하는 워크피스 지지체 상에, 포토레지스트 층을 갖는 워크피스를 배치하는 단계;
    대략 노광 후 베이크 설정 온도에서 유체 기반 발열체를 포함하는 워크피스 지지체 상의 워크피스 상의 포토레지스트 층에 대한 노광 후 베이크 가열 공정을 수행하는 단계를 포함하는, 워크피스 처리 방법.
  15. 제14항에 있어서,
    상기 워크피스 지지체의 유체 기반 발열체는 열교환기와 유체 연결(fluid connection)되고, 상기 노광 후 베이크 가열 공정에서 워크피스 지지체의 유체 기반 발열체와 열교환기 사이에서 유체가 순환하는, 워크피스 처리 방법.
  16. 제14항에 있어서,
    상기 유체가 물, 오일 및 글리콜 중 하나 이상을 포함하는 작동 액체 유체(working liquid fluid)인, 워크피스 처리 방법.
  17. 제14항에 있어서,
    상기 노광 후 베이크 설정 온도는 60℃ 내지 150℃인, 워크피스 처리 방법.
  18. 제14항에 있어서,
    상기 노광 후 베이크 가열 공정은 약 15초 미만 동안 워크피스를 대략적인 노광 후 베이크 설정 온도로 가열하는, 워크피스 처리 방법.
  19. 제14항에 있어서,
    상기 노광 후 베이크 가열 공정은 약 5초 미만 동안 워크피스를 대략적인 노광 후 베이크 설정 온도로 가열하는, 워크피스 처리 방법.
  20. 제14항에 있어서,
    상기 포토레지스트 층은 화학 증폭형 레지스트 층(chemically amplified resist layer)인, 워크피스 처리 방법.
KR1020207036003A 2018-06-15 2019-05-03 워크피스의 노광 후 베이크 공정을 위한 방법 및 장치 KR102513167B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862685608P 2018-06-15 2018-06-15
US62/685,608 2018-06-15
US201862735244P 2018-09-24 2018-09-24
US62/735,244 2018-09-24
PCT/US2019/030552 WO2019240891A1 (en) 2018-06-15 2019-05-03 Methods and apparatus for post exposure bake processing of a workpiece

Publications (2)

Publication Number Publication Date
KR20200143505A true KR20200143505A (ko) 2020-12-23
KR102513167B1 KR102513167B1 (ko) 2023-03-23

Family

ID=68840718

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207036003A KR102513167B1 (ko) 2018-06-15 2019-05-03 워크피스의 노광 후 베이크 공정을 위한 방법 및 장치

Country Status (6)

Country Link
US (1) US11586113B2 (ko)
JP (1) JP7086232B2 (ko)
KR (1) KR102513167B1 (ko)
CN (1) CN112204470B (ko)
TW (1) TW202001436A (ko)
WO (1) WO2019240891A1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11644748B2 (en) 2021-04-09 2023-05-09 Applied Materials, Inc. Multi-volume baking chamber for mask clean

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000146444A (ja) * 1998-09-09 2000-05-26 Tokyo Electron Ltd 加熱処理装置
JP2014220471A (ja) * 2013-05-10 2014-11-20 東京エレクトロン株式会社 レジストパターン形成方法、塗布、現像装置、記憶媒体
US20150355549A1 (en) * 2014-06-10 2015-12-10 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
JP2018018860A (ja) * 2016-07-25 2018-02-01 株式会社Screenホールディングス 熱処理装置、基板処理装置および熱処理方法

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5875154A (ja) * 1981-10-29 1983-05-06 Toppan Printing Co Ltd 加熱装置
JPH07111948B2 (ja) * 1987-12-23 1995-11-29 東京エレクトロン九州株式会社 ベーキング装置
EP0885410B1 (en) * 1996-03-07 2000-09-13 Clariant Finance (BVI) Limited Thermal treatment process of positive photoresist composition
US6358672B2 (en) 1998-02-05 2002-03-19 Samsung Electronics Co., Ltd. Method of forming semiconductor device pattern including cross-linking and flow baking a positive photoresist
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
US6185370B1 (en) 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6254936B1 (en) * 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6497800B1 (en) 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6245491B1 (en) * 1999-02-05 2001-06-12 National Semiconductor Corp. Photo-assisted post exposure bake for chemically amplified photoresist process
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
JP2002023860A (ja) * 2000-07-12 2002-01-25 Komatsu Ltd 温度調整システム
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030173346A1 (en) 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
US20060238954A1 (en) 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates
KR100626395B1 (ko) * 2005-06-29 2006-09-20 삼성전자주식회사 노광 후 베이크 장치 및 노광 후 베이크 방법, 그리고 상기장치를 가지는 포토 리소그래피 시스템
US7679714B2 (en) * 2006-10-12 2010-03-16 Asml Netherlands B.V. Lithographic apparatus, combination of lithographic apparatus and processing module, and device manufacturing method
KR100905258B1 (ko) 2007-07-11 2009-06-29 세메스 주식회사 플레이트, 온도 조절 장치 및 이를 갖는 기판 처리 장치
US7838198B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP5160920B2 (ja) * 2008-02-22 2013-03-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法、半導体装置の製造装置、及び製造プログラム
US7845868B1 (en) * 2009-09-09 2010-12-07 Nanya Technology Corporation Apparatus for semiconductor manufacturing process
US8435728B2 (en) * 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8940475B2 (en) * 2010-11-23 2015-01-27 Tokyo Electron Limited Double patterning with inline critical dimension slimming
JP5514759B2 (ja) * 2011-03-25 2014-06-04 富士フイルム株式会社 レジストパターン形成方法、レジストパターン、有機溶剤現像用の架橋性ネガ型化学増幅型レジスト組成物、レジスト膜、及びレジスト塗布マスクブランクス
JP5951209B2 (ja) * 2011-09-26 2016-07-13 株式会社Screenホールディングス 熱処理方法
JP2013101216A (ja) * 2011-11-08 2013-05-23 Hoya Corp レジストパターン付き基板の製造方法及びレジスト層付き基板の製造方法
CN105093863A (zh) * 2015-08-20 2015-11-25 上海华力微电子有限公司 一种光刻工艺的曝光后烘烤方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000146444A (ja) * 1998-09-09 2000-05-26 Tokyo Electron Ltd 加熱処理装置
JP2014220471A (ja) * 2013-05-10 2014-11-20 東京エレクトロン株式会社 レジストパターン形成方法、塗布、現像装置、記憶媒体
US20150355549A1 (en) * 2014-06-10 2015-12-10 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
JP2018018860A (ja) * 2016-07-25 2018-02-01 株式会社Screenホールディングス 熱処理装置、基板処理装置および熱処理方法

Also Published As

Publication number Publication date
CN112204470A (zh) 2021-01-08
JP2021527955A (ja) 2021-10-14
JP7086232B2 (ja) 2022-06-17
TW202001436A (zh) 2020-01-01
CN112204470B (zh) 2024-04-16
US11586113B2 (en) 2023-02-21
US20190384178A1 (en) 2019-12-19
WO2019240891A1 (en) 2019-12-19
KR102513167B1 (ko) 2023-03-23

Similar Documents

Publication Publication Date Title
JP7462604B2 (ja) 回転基板レーザアニール
TWI585860B (zh) 控制瞬間退火程序之方法
KR102614222B1 (ko) 간접 표면 세정장치 및 방법
JP2018535542A (ja) ミリ秒アニールシステムのための予熱方法
JP4476622B2 (ja) 温度制御チャック
KR102513167B1 (ko) 워크피스의 노광 후 베이크 공정을 위한 방법 및 장치
US20170336711A1 (en) Heat treatment method and heat treatment apparatus
TWI813889B (zh) 用於曝光後處理的方法及設備
WO2018190273A1 (ja) 露光装置、基板処理装置、基板の露光方法および基板処理方法
JP6081879B2 (ja) 塗布膜の形成方法、プログラム及びコンピュータ記憶媒体
TWI607490B (zh) Photoresist pattern forming method, coating, developing device, memory medium
CN117795433A (zh) 用于晶片中的干式显影副产物挥发的干式显影装置和方法
JP4202962B2 (ja) 基板処理方法及び半導体装置の製造方法
JP2008004591A (ja) 基板の処理方法、プログラム、コンピュータ読み取り可能な記録媒体及び基板の処理システム
WO2011099221A1 (ja) 基板処理方法
JP2005051024A (ja) 基板処理方法および基板処理装置
KR100301058B1 (ko) 포토레지스트 플로우가 가능한 자외선 베이크 설비
JP6023017B2 (ja) 基板熱処理装置、基板熱処理方法及び基板熱処理用記録媒体
JP2001044130A (ja) 加熱方法
JP2004327688A (ja) レジスト現像方法及びレジスト現像装置
KR20060074759A (ko) 진공을 이용한 스텝퍼 렌즈 시스템

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant