TW202001436A - 用於工件曝光後烘烤製程之方法與設備 - Google Patents

用於工件曝光後烘烤製程之方法與設備 Download PDF

Info

Publication number
TW202001436A
TW202001436A TW108115908A TW108115908A TW202001436A TW 202001436 A TW202001436 A TW 202001436A TW 108115908 A TW108115908 A TW 108115908A TW 108115908 A TW108115908 A TW 108115908A TW 202001436 A TW202001436 A TW 202001436A
Authority
TW
Taiwan
Prior art keywords
workpiece
fluid
post
patent application
item
Prior art date
Application number
TW108115908A
Other languages
English (en)
Inventor
麥克X 楊
Original Assignee
美商瑪森科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商瑪森科技公司 filed Critical 美商瑪森科技公司
Publication of TW202001436A publication Critical patent/TW202001436A/zh

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2014Contact or film exposure of light sensitive plates such as lithographic plates or circuit boards, e.g. in a vacuum frame
    • G03F7/2016Contact mask being integral part of the photosensitive element and subject to destructive removal during post-exposure processing
    • G03F7/202Masking pattern being obtained by thermal means, e.g. laser ablation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Toxicology (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

提供曝光後烘烤方法。在一範例中,方法包含將具有光阻層的工件置於設置在處理室內的工件支座上。方法包含透過光罩將光阻曝露至一波長的光子。方法包含對具有光阻加熱層的工件,執行曝光後烘烤加熱製程。曝光後烘烤加熱製程,可包含以輻射熱源及設置在該工件支座中的第二熱源兩者來加熱工件,直到工件的溫度到達曝光後烘烤設定點溫度。

Description

用於工件曝光後烘烤製程之方法與設備 【優先權之主張】
本申請案主張於2018年9月24日申請,名稱為「用於工件曝光後烘烤製程之方法與設備」的美國臨時申請案序號62/735,244之優先權,其以引用方式併入本案。本申請案主張於2018年6月15日申請,名稱為「用於工件曝光後烘烤製程之方法與設備」的美國臨時申請案序號62/685,608之優先權,其以引用方式併入本案。
本發明一般涉及用於工件之曝光後烘烤製程(例如,在與半導體製程關聯的微影製程期間)的方法。
在半導體製程中,裝置製造可包含若干微影步驟。此外,工件的關鍵尺寸(CD)持續縮小,且深紫外光(UV)及極UV(EUV)微影術已成為最先進裝置生產及研發中的主流。最先進光微影方法(如深UV與極UV)採用化學增強阻劑(CARs)。CARs的化學增強作用係奠基於在曝光後產生的化學穩定的催化性化合物。於後續的曝光後烘烤(PEB)製程期間, 光生催化劑可啟動連鎖反應(如一個光化學轉化可引起若干化學反應),導致光阻敏感性之顯著提昇。
在先進微影應用中,到達PEB溫度設定點所需的時間,在控制CAR層中的酸擴散長度上來說係一個關鍵參數。減少PEB製程中的加熱時間可改善CD控制,例如線緣粗糙度(LER)控制。習用的電阻式加熱器塊設計可包含電阻式加熱元件,其嵌入具有高導熱係數之材料的加熱器基底中。習用的電阻式加熱器塊設計,會對先進微影術中的PEB製程有若干限制。在加熱器基底材料的低熱質量下,當把冷的工件放置在熱的加熱器塊表面上時,加熱器塊溫度可能會有多達數度的初始溫度下降。此外,傳統加熱器塊控制針對電阻式加熱元件電源輸入,採用動態溫度控制演算法,其需在快速反應與精準控制的需求之間取得平衡。因此,傳統加熱器塊的反應對於放置冷工件時初始加熱器塊的溫度下降的校正可能很慢,以避免過衝PEB溫度設定點。另外,在工件與加熱器塊之間僅有物理接觸下,要使工件與電阻式加熱器塊到達熱平衡得花上一段時間。因此,用於PEB製程的習用電阻式加熱器技術,僅能在相對長的時限內(如大於15秒),將工件加熱到所需的溫度設定點。工件溫度到CAR活化之緩慢增加,不僅會限制PEB工具產量,還會造成CD控制的衰退,包含先進微影術中的LER粗糙度控制。
本發明實施方式之態樣及優點可在隨後之說明中部分闡述,或可從說明中習得,或可透過實施方式的實踐而習得。
本發明之一示範態樣係有關於用於處理工件的方法。方法包含將具有光阻層的工件置於設置在處理室內的工件支座上。方法包含透過光罩將光阻曝露至一波長的光子。方法包含對具有光阻加熱層的工件執行曝光後烘烤加熱製程。曝光後烘烤加熱製程,可包含以輻射熱源及設置在該工件支座中的第二熱源兩者來加熱工件,直到工件的溫度到達曝光後烘烤設定點溫度。
本發明之另一示範態樣係有關於用於處理工件的方法。該方法包含一種處理工件的方法。方法包含透過光罩將工件上的光阻層曝露至一波長的光子。方法包含將具有化學增強阻劑層的工件,置於設置在處理室內的工件支座上。工件支座包括一或多個流體為基礎的加熱元件。方法包含在曝光後烘烤設定點溫度下,對工件支座上的工件上之化學增強阻劑層執行曝光後烘烤加熱製程。
參考下列說明及後附申請專利範圍,將能更佳理解各個實施方式的這些及其他特徵、態樣、及優點。併入此說明書並構成其一部分的附圖,繪示本發明之實施方式,並連同說明用來解釋相關原理。
100‧‧‧工件處理設備
110‧‧‧處理室
120‧‧‧工件支座
122‧‧‧流體輸入口
124‧‧‧流體輸出口
125‧‧‧流體為基的加熱元件
126‧‧‧熱交換器
127‧‧‧熱源
130‧‧‧工件
132‧‧‧非裝置側表面
134‧‧‧裝置側
160‧‧‧控制裝置
165‧‧‧溫度感測器
300‧‧‧工件處理設備
320‧‧‧工件支座
325‧‧‧加熱元件
340‧‧‧燈
350‧‧‧濾波器
700‧‧‧工件處理設備
參照附圖,於說明書中闡述針對所屬技術領域中具有通常知識者之實施方式的詳細討論,其中:第一圖繪示根據本發明的示範實施方式之一示範工件處理設備;第二圖繪示根據本發明的示範實施方式之一示範方法的流程圖;第三圖繪示根據本發明的示範實施方式於一流體為基之曝光後烘烤製程期間一工件支座溫度的圖示;第四圖繪示根據本發明的示範實施方式之一示範工件處理設備;第五圖繪示根據本發明的示範實施方式之通過一示範濾波器的不同波長輻射之透射的圖式代表;第六圖繪示根據本發明的示範實施方式之一示範方法的流程圖;第七圖繪示根據本發明的示範實施方式於一混合型曝光後烘烤製程期間一工件溫度的圖示;以及第八圖繪示根據本發明的示範實施方式之一示範工件處理設備。
茲詳細參照實施方式,其之一或多個範例繪示於 圖中。每一實施例的提供係作為解釋實施方式而非限制本發明的揭露內容。事實上,對本項技術具通常知識者來說,很明顯的可對實施方式作出各種修改及變異而不悖離本發明之範疇或精神。例如,繪示或描述作為一個實施方式之一部分的特徵,可與另一個實施方式一起使用,以產生又一個實施方式。因此,本發明之態樣意圖涵蓋此等修改及變異。
本發明的示範態樣,有關於用於實行具有光阻層(如化學增強阻劑(CAR)層)之工件的曝光後烘烤(PEB)製程之方法。在一些實施方式中,PEB製程可為半導體裝置製程中的一微影製程的一部分。
在一些實施方式中,該方法可包含利用連同流體加熱器至工件支座,針對具有CAR層的工件實行PEB處理。例如,用於處理工件的一方法可包含將工件置於處理室內的工件支座上。工件支座可包含一或多個流體加熱元件。PEB加熱製程,可包含傳送加熱過的流體(例如液體)通過工件支座內的一或多個流體加熱元件(如流體通道)。
在一些實施方式中,該方法可包含加熱工件至約PEB設定點溫度。PEB設定點溫度可為在用於在工件上進行PEB製程的製程配方、控制常規、操作者輸入等等中所指定的溫度。在一些實施方式中,PEB設定點溫度可介於約60℃及約150℃之間。該方法可包含在PEB處理時期,使用工件支座中的一或多個流體加熱元件,將工件溫度維持在大約PEB設定點 溫度。該方法可包含在PEB處理時期完成後,自處理室移除工件。
在一些實施方式中,該一或多個流體加熱元件,可經配置而自設置於處理室外部的熱交換器接收加熱過的流體。流體可為工作液體流體,例如水、油、二元醇(如乙二醇)、或上述的混合物。舉例而言,當PEB設定點溫度在約60℃至約80℃的範圍之間時,工作液體流體可為水。可依據任何希望或需要的PEB設定點溫度,選擇其他適當的工作液體流體。
流體可通過與工件支座關聯的流體加熱元件來加熱工件,並可輸出回到熱交換器以再加熱。此流體加熱方式可因熱交換器具有多個可用且可互換的熱源而受益,包含從電漿處理回收的熱、電阻式加熱、輻射加熱、或其他可得的熱。依此方式,流體(如液體)可在流體加熱元件與熱交換器之間循環。
根據本發明的這些示範實施方式之方法,可具有數個技術功效及益處。例如,根據本發明的示範實施方式之PEB加熱製程,可透過工件支座提供改善的加熱均勻性。因循環通過工件支座之加熱流體的較高熱質量之緣故,工件支座的溫度亦可有較高的穩定性。將工件置於工件支座上時,初始工件支座溫度下降與習用電阻式加熱器塊設計的相比會很小。另外,在將工件置於工件支座時初始工件支座溫度下降後,加熱流體為基礎的工件支座設計可提供工件支座溫度回到PEB設 定點溫度之一較快速的爬升(如少於約15秒)。最後,不同於習用加熱器塊設計中的動態溫度控制,具有加熱流體為基礎的工件支座設計,在PEB製程中也減輕任何工件支座或工件溫度過衝的問題。
本發明之另一個示範實施方式,使用輻射熱源(如燈或其他輻射熱源)並連同第二熱源(如設置在工件支座內的熱源),以便至少部分在具有光阻層(如CAR層)的工件上實施PEB加熱製程。例如,該方法可包含將工件置於設置在處理室內的工件支座上。在一些實施方式中,工件支座可包含一或多個加熱源,如電阻式加熱元件或液體-流體為基礎的加熱元件。該方法可包含在具有CAR層的工件上執行PEB加熱製程。PEB加熱製程,可包含使用與工件支座關聯的(諸)加熱源和輻射熱源(如一或多個燈)兩者來加熱具有CAR層的工件,直到工件溫度到達PEB設定點溫度。在一些實施方式中,PEB設定點溫度可介於約60℃至約150℃之間。
一旦工件溫度到達PEB設定點溫度,該方法可包含在PEB處理時期主要使用與工件支座關聯的(諸)加熱源,將工件溫度維持在大約PEB設定點溫度。一旦工件溫度到達PEB設定點溫度,可部分或完全使輻射加熱源去能。在一些實施方式中,可在少於約15秒成功到達PEB設定點溫度。在一些實施方式中,可在少於約5秒成功到達PEB設定點溫度。
輻射熱源可包含一或多個燈,例如閃光燈、弧光 燈、鹵素燈、白熾燈、或其他燈熱源(如發光二極體(LED)燈熱源)。為了繪示及討論,參照燈討論本發明的態樣。其他輻射熱源,例如但不限於,熱氣流、一或多個雷射、產生粒子束的熱源、產生RF的熱源、產生微波的熱源等等,可用作輻射熱源而不背離本發明的範疇。
在一些實施方式中,與工件支座關聯的該一或多個熱源可為電阻式加熱元件。在一些其他實施方式中,與工件支座關聯的該一或多個熱源可包含一或多個流體為基礎的加熱元件。該一或多個流體為基礎的加熱元件可組態成自設置在處理室外的熱交換器接收加熱過的流體。流體可為工作液體流體,例如水、油、二元醇(如乙二醇)、或上述的混合物(如水與二元醇的混合物)。舉例而言,當PEB設定點溫度在約60℃至約80℃的範圍之間時,工作液體流體可為水。可依據任何希望或需要的PEB設定點溫度,選擇其他適當的工作液體流體。
根據本發明的示範態樣之方法提供眾多技術功效。例如,與缺少輻射加熱元件相比,除了與工件支座關聯的熱源之外還使用輻射加熱元件,可使工件更快速地加熱到PEB設定點溫度。在一些實施方式中,工件溫度可在少於15秒增加到PEB設定點。在一些實施方式中,工件溫度可在少於5秒增加到PEB設定點。據此,於PEB製程期間可更嚴格控制CAR層的酸擴散長度。
為了繪示及討論,參照「晶圓」或半導體晶圓來 討論本發明之態樣。所屬技術領域中具通常知識者,使用本案提供於此的揭露內容,將理解本發明的示範態樣可與任何半導體基板或其他適當基板聯合使用。應可理解到工件可包含裝置側表面,其可包含一或更多裝置結構、膜、或層。工件亦可包含相對非裝置側表面,其可不包含裝置結構。如在此所用,連同數值之術語「約」或「大約」的使用意指所述數值的百分之十(10%)內。
第一圖繪示根據本發明的示範實施方式之一示範工件處理設備100,其可用來對具有光阻層(如CAR層)的工件執行PEB加熱製程。工件處理設備100可包含處理室110。處理室110可包含工件支座120,可操作成支撐待處理的工件130,如半導體晶圓。工件支座120可包含用於調節工件支座120的溫度之一或多個液體-流體為基礎的加熱元件125。在一些實施方式中,工件130可被置於液體-流體為基礎的加熱元件125上,使工件130的非裝置側表面132接觸液體-流體為基礎的加熱元件125。如進一步顯示,工件130包含設置在工件130的裝置側134上的CAR層136。
在一些實施方式中,工件處理設備100可進一步包含熱交換器126,與流體為基礎的加熱元件125流體式連接。熱交換器126可組態成傳送加熱流體至流體加熱元件125的流體輸入口122。類似地,熱交換器可組態成自流體加熱元件125的流體輸出口124接收流體。依此方式,流體(如液體)可在 流體加熱元件125與熱交換器126之間循環。
在一些實施方式中,流體為選自由水、油、二元醇、或上述之混合物所組成的群組之工作液體流體。在一些實施方式中,工作液體流體為乙二醇。在一些實施方式中,特別針對PEB設定點溫度的特定目標操作溫度來選擇工作液體流體。舉例而言,當PEB設定點溫度在約60℃至約80℃的範圍中時,工作流體可為水。可根據任何希望或需要的PEB加熱設定點溫度,選擇其他適當的工作流體。
在一些實施方式中,工件處理設備100可包含操作性耦合至熱交換器126的控制裝置160。控制裝置160可組態成控制熱交換器126及/或一或多個控制閥的操作,以控制流入一或更多流體加熱元件125之流體的流動,包含像是增加或減少工作流體的溫度。
控制裝置160亦可選擇性控制提供熱到熱交換器126的熱源127。在一些實施方式中,熱源127包含電阻式加熱元件。在一些實施方式中,熱源127提供廢熱或回收熱(如來自電漿源)。可使用其他熱源127而不背離本發明之範疇。
在一些實施方式中,控制裝置160可依據從溫度感測器165接收到的信號(其指示工件130及/或工件支座120之溫度)執行控制操作(如在此討論的操作之任何者)。溫度感測器165可為組態成獲得測量值之任何適當的感測器(如接觸或非接觸性),其中該等測量值可經處理以估計工件130及/或工 件支座120溫度。於曝光後烘烤(PEB)製程期間,來自溫度感測器165的信號可用於工件130溫度的閉環控制。
在一些實施方式中,控制裝置160可包含處理器及關聯的記憶體,其組態成執行多種電腦實施的功能。如在此所用,「處理器」一詞不僅指所屬技術領域中所述之包含在電腦中的積體電路,尚指控制器、微控制器、可編程邏輯控制器(PLC)、特殊應用積體電路(ASIC)、現場可編程閘陣列(FPGA)、及其他可編程電路。此外,記憶體可包含(諸)記憶體元件,包含但不限於,電腦可讀取媒體(如隨機存取記憶體(RAM))、電腦可讀取非依電性媒體(如快閃記憶體)、及/或其他適當的記憶體元件或上述之結合。此外,控制裝置160可包含通訊介面。在一些實例中,通訊介面可包含用來發送及接收資料之關聯的電子電路。
第二圖繪示一示範方法200的流程圖,其是根據本發明的示範實施方式之用於對工件的CAR層實施流體為基礎的PEB加熱製程。為了闡述及討論,第二圖繪示以特定順序執行之步驟。所屬技術領域中具通常知識者,使用提供於此的揭露內容,將了解到可省略、擴充、同時執行、重新編排、及/或以各種方式修改描述於此的多週期熱處理製程之各種步驟,而不背離本發明之範疇。另外,可執行各種額外的步驟(未圖示)而不背離本發明之範疇。
在(202),方法200可包含將工件置於設置在處理 室內的工件支座上。例如,工件130可被置於工件支座120上。工件支座120可包含一或多個流體為基礎的加熱元件125。每一個流體為基礎的加熱元件125可包含,例如,用於流體(如液體流體)流通之通道。
在(204),方法200可包含透過光罩在工件上執行阻劑層之曝光。例如,光罩可包括即將蝕刻在工件的至少一部分上的圖案。光罩可包括與即將蝕刻在工件上的圖案規模相同的圖案。另外及/或替代地,光罩可包括與即將蝕刻在工件上的圖案規模不同的圖案,例如更大的圖案及/或更小的圖案。光罩及阻劑層可曝露至輻射以將光罩上的圖案蝕刻到阻劑層上。在PEB製程中可避免將光阻額外曝露至選擇性波長的輻射(例如曝露至並非用來將光罩上的圖案轉移到光阻層之選擇性波長輻射的輻射)。
在(206),方法200可包含使用工件中的一或多個流體加熱元件來執行流體為基礎的PEB加熱製程。例如,PEB加熱製程可包含傳送已加熱流體通過與工件支座關聯的流體加熱元件,直到工件溫度到達PEB設定點溫度。在一些實施方式中,PEB設定點溫度可介於約60℃及約150℃。在一些實施方式中,可在少於約15秒內,例如少於約5秒內,將工件加熱到PEB設定點溫度。
舉例而言,參照第一圖,熱交換器126可與工件支座中的流體加熱元件125流體式連接。熱交換器126可組態成傳 送加熱流體至(諸)流體加熱元件125的流體輸入口122。類似地,熱交換器組態成從(諸)流體加熱元件125的流體輸出口124接收流體。依此方式,流體可在(諸)流體加熱元件125與熱交換器126之間的流體式連接中循環。
在一些實施方式中,流體為選自由水、油、二元醇、或上述之混合物所組成的群組之工作液體流體。在一些實施方式中,工作液體流體為乙二醇。在其他實施方式中,特別針對PEB設定點溫度的特定目標操作溫度來選擇工作液體流體。舉例而言,當PEB設定點溫度可在約60℃至約80℃的範圍中時,工作流體可為水。可根據任何希望或需要的PEB加熱設定點溫度來選擇其他適當的工作流體。
在一些實施方式中,熱交換器126從電阻式加熱元件接收熱。在一些實施方式中,熱交換器126接收廢熱或回收的熱。用於熱交換器126的其他熱源亦適用(如電漿熱源)。
在(208),方法200可包含使用流體加熱器來維持工件的PEB設定點溫度。例如,(諸)流體加熱器可在PEB處理時期循環以實質上恆定的溫度所加熱的流體。PEB處理時期的持續時間,可依據層136的種類及各種其他因素而變。在(210),一旦PEB處理時期結束,方法200可包含從處理室移除工件。
第三圖繪示根據本發明的示範實施方式,在流體為基礎的PEB加熱製程期間,隨時間變化之工件支座溫度的代 表圖。第三圖繪製沿水平軸的時間及沿垂直軸的工件支座溫度。曲線410繪示出結合有電阻加熱元件之工件支座的工件支座溫度。如上所述,當較冷的工件初次接觸工件支座的熱表面時,工件支座溫度可能會大幅下沉。這可能使得在到達PEB設定點溫度要花很長的加熱時間。長加熱時間可能造成工件上的CAR層之長酸擴散長度,並可能造成不良的CD控制。
曲線412繪示根據本發明的示範實施方式,結合一或多個流體加熱元件的工件支座之工件支座溫度。與結合電阻式加熱元件的工件支座之工件支座溫度的曲線410相比,於結合一或多個流體加熱元件的工件支座之曲線412中,當工件初次接觸工件支座時的工件支座溫度之初始下沉減少。這可能使工件的溫度到達PEB設定點溫度的時間較快(如少於約15秒)。據此,得以更嚴格控制工件上的CAR層之酸擴散長度。
第四圖繪示根據本發明的示範實施方式,可用來對具有光阻層(如CAR層)的工件執行PEB製程之工件處理設備300。如所示,工件處理設備300包含處理室110。處理室110可包含操作成支撐待處理之工件的工件支座320。工件支座320可包含一或多個加熱元件325,例如電阻式加熱元件。在一些實施方式中,可將工件130置於工件支座320上,使得工件130的非裝置側表面132接觸工件支座320。如進一步所示,工件130包括設置在工件130的裝置側表面134上的CAR層136。
在一些實施方式中,工件處理設備300可包括組態 成發射能量到工件130上的一或多個燈340。詳言之,該一或多個燈340可發射光到工件130的CAR層136上,以對CAR層至少部分實行PEB製程。
每一盞燈340可為,例如,弧光燈、鹵素燈、白熾燈、或其他燈熱源(如發光二極體(LED)燈熱源)。為了繪示及討論,參照燈340來討論本發明的態樣。所屬技術領域中具通常知識者,使用提供於此的揭露內容,將了解到可使用任何輻射熱源來代替燈340。
在光微影術中,透過圖案化的光罩將工件上例如CAR層之光阻層曝露於選擇性波長的輻射,以將光罩上的圖案轉移到光阻層。例如,光罩可包括即將蝕刻在至少部分工件上的圖案。光罩可包括與即將蝕刻在工件上的圖案規模相同的圖案。另外及/或替代地,光罩可包括與即將蝕刻在工件上的圖案規模不同的圖案,例如更大的圖案及/或更小的圖案。在PEB製程中應避免將光阻額外曝露至選擇性波長的輻射(如曝露至並非用來將光罩上的圖案轉移到光阻層之選擇性波長輻射的輻射)。
在一些實施方式中,將濾波器350置於燈340與工件130之間。濾波器可對一波段的波長內之輻射至少部分具不透性(opaque)。例如,濾波器可阻擋具有在入射到濾波器之波段中的波長之光子的至少一部分(如來自燈340的光子)使其無法透射通過濾波器。因此,濾波器可至少部分地阻擋該波段 中的輻射,防止光阻層曝露於該波段中的輻射。
第五圖繪示通過一示範濾波器隨著光波長改變之光透射的代表圖400。例如,波長可在縱座標上,且透射(如作為入射輻射的百分比)可在橫座標上。從第五圖可見,濾波器對在一個波長的輻射(如接近縱座標右端之輻射)可實質上具透性(transparent),且對其他波長(如接近縱座標左端之輻射)為實質上具不透性。
在一些實施方式中,工件處理設備300可包含控制裝置160,其操作成耦合至一或更多燈340及/或工件支座320中的一或更多加熱元件325。依此方式,控制裝置160可組態成控制一或更多燈340及/或一或更多加熱元件325之操作。
控制裝置160亦可選擇性控制提供熱到熱交換器126的熱源127。在一些實施方式中,熱源127包含電阻式加熱元件。在一些實施方式中,熱源127提供廢熱或回收的熱(如來自電漿源)。可使用其他熱源127而不背離本發明的範疇。
在一些實施方式中,控制裝置160可根據從指示工件130及/或工件支座120溫度的溫度感測器165所接收到的信號,執行控制操作(如在此討論的任何操作)。溫度感測器165可為任何適當的感測器(如接觸或非接觸式),其組態成執行溫度測量,其中該等溫度測量可經處理以估計工件130及/或工件支座120之溫度。來自溫度感測器165的信號,可用於在後曝光烘烤製程期間工件130溫度的閉環控制。例如,控制裝置160 可在來自溫度感測器的信號指示工件130的溫度到達PEB設定點溫度時,使該一或多個燈340去能。
在一些實施方式中,控制裝置160可包含處理器及關聯的記憶體,其組態成執行各種電腦實施的功能。如在此所用,「處理器」一詞不僅指所屬技術領域中所述之包含在電腦中的積體電路,尚指控制器、微控制器、可編程邏輯控制器(PLC)、特殊應用積體電路(ASIC)、現場可編程閘陣列(FPGA)、及其他可編程電路。此外,記憶體可包含(諸)記憶體元件,包含但不限於,電腦可讀取媒體(如隨機存取記憶體(RAM))、電腦可讀取非依電性媒體(如快閃記憶體)、及/或其他適當的記憶體元件或上述之結合。此外,控制裝置160可包含通訊介面。在一些實行例中,通訊介面可包含用來發送及接收資料之關聯的電子電路。
第六圖繪示根據本發明的示範實施例,用於處理工件之一示範方法500的流程圖。可使用參考第四圖如上所述的工件處理設備300來實施方法500。然而,可理解到可使用其他方式來實行方法500而不背離本發明的範疇。
應可理解為了闡述及討論,第六圖繪示以特定順序執行之步驟。然而,所屬技術領域中具通常知識者,使用提供於此的揭露內容,將了解到可省略、擴充、同時執行、重新編排、及/或以各種方式修改描述於此的方法500之各種步驟,而不背離本發明之範疇。另外,可執行各種額外的步驟(未圖 示)而不背離本發明之範疇。
在(502),方法500可包含將工件置於設置在處理室內的加熱元件上。例如,工件130可被置於工件支座320上。工件支座320可包含一或多個加熱元件325(如電阻式加熱元件或流體為基礎的加熱元件)。
在(504),方法500可包含透過光罩在工件上執行阻劑層之曝光。例如,光罩可包括即將蝕刻在工件的至少一部分上的圖案。光罩可包括與即將蝕刻在工件上的圖案規模相同的圖案。另外及/或替代地,光罩可包括與即將蝕刻在工件上的圖案規模不同的圖案,例如更大的圖案及/或更小的圖案。光罩及阻劑層可曝露至輻射以將光罩上的圖案蝕刻到阻劑層上。在PEB製程中應避免將光阻額外曝露至選擇性波長的輻射(如曝露至並非用來將光罩上的圖案轉移到光阻層之選擇性波長輻射的輻射)。
在(506),方法500可包含對工件執行混合式PEB加熱製程。混合式PEB加熱製程可包含在工件溫度初始上升至PEB設定點溫度期間,同時使用與工件支座關聯的加熱元件及輻射加熱(如燈)兩者。一旦工件溫度在大約PEB設定點溫度,則可使用與工件支座關聯的加熱元件來將工件溫度維持在大約PEB設定點溫度。
例如,在工件溫度初始上升至PEB設定點溫度期間,可同時使用加熱元件325(第四圖)及燈熱源340兩者來加 熱工件。一旦工件溫度在大約PEB設定點溫度,則可使用加熱元件325來將工件溫度維持在大約PEB設定點溫度。
在這方面在(508),方法500可包含於PEB處理時期中使用第二熱源來維持工件的PEB設定點溫度。在一些實施方式中,可使燈340去能並可操作第二熱源,以在整個PEB製程期間維持PEB設定點溫度。
在(510),方法500可包含在PEB處理時期完成後從處理室移除工件。PEB處理時期可隨阻劑(如CAR層136)的種類及多種其他因素而變。
第七圖繪示根據本發明的示範實施方式,在混合式PEB加熱製程期間,隨時間變化之工件溫度的代表圖。第七圖沿水平軸繪製時間,且沿垂直軸繪製工件溫度。曲線610繪示當僅用結合到工件中的加熱元件來加熱工件時工件的溫度。曲線620繪示當使用根據本發明的示範實施方式之混合式PEB加熱製程期間工件的溫度。如所示,混合式PEB加熱製程會使工件之溫度到達PEB設定點溫度的時間較快(如少於15秒,例如少於5秒)。據此,得以更嚴格控制工件上的CAR層之酸擴散長度。
參照使用工件支座中的加熱元件還加上輻射熱源(如燈)的混合式PEB加熱製程,討論第六及第七圖。可使用工件支座中的電阻式加熱元件,實行根據本發明的示範實施方式之混合式PEB加熱製程。亦可使用工件支座中的流體為基礎 加熱元件,實行根據本發明的示範實施方式之混合式PEB加熱製程。
第八圖繪示可用來執行根據本發明的示範實施方式的製程之工件處理設備700。工件處理設備700可包含處理室110。處理室110可包含可操作用於支撐待處理工件130(如半導體晶圓)的工件支座120。工件支座120可包含用於調節工件支座120的溫度之一或多個流體為基礎的加熱元件125。在一些實施方式中,工件130可被置於流體為基礎的加熱元件125上,使工件130的非裝置側表面132接觸流體為基的加熱元件125。如進一步顯示,工件130包含設置在工件130的裝置側134上的CAR層136。
在一些實施方式中,工件處理設備700可進一步包含熱交換器126,與流體為基礎的加熱元件125流體式連接。熱交換器126可組態成傳送加熱流體至流體加熱元件125的流體輸入口122。類似地,熱交換器可組態成自流體加熱元件125的流體輸出口124接收流體。依此方式,流體(如液體)可在流體加熱元件125與熱交換器126之間循環。
在一些實施方式中,流體為選自由水、油、二元醇、或上述之混合物所組成的群組之工作液體流體。在一些實施方式中,工作液體流體為乙二醇。在一些實施方式中,特別針對PEB設定點溫度的特定目標操作溫度,選擇工作液體流體。舉例而言,當PEB設定點溫度在約60℃至約80℃的範圍中 時,工作流體可為水。可根據任何希望或需要的PEB加熱設定點溫度,選擇其他適當的工作流體。
燈340可為,例如,弧光燈、鹵素燈、白熾燈、或其他燈熱源(如發光二極體(LED)燈熱源)。為了繪示及討論,參照燈340來討論本發明的態樣。可使用其他熱源,例如但不限於,熱牆環境、熱板或基座、熱氣流、輻射熱源(如雷射、產生粒子束的熱源、產生RF的熱源、或產生微波的熱源)而不背離本發明之範疇。
在一些實施方式中,將濾波器350置於燈340與工件130之間。濾波器對於一波段的波長內之輻射,可至少部分具透性。例如,濾波器可阻擋入射至濾波器之該波段中具有某一波長之光子的至少一部分(如來自燈340的光子),使其無法透射通過該濾波器。因此,濾波器可至少部分地阻擋該波段中的輻射,防止光阻層曝露於該波段中的輻射。
在一些實施方式中,工件處理設備300可包含控制裝置160,其操作成耦合至一或更多燈340及至熱交換器126。依此方式,控制裝置160可控制一或更多燈340之操作。控制裝置160亦可組態成控制熱交換器126的操作,包含,例如,增加或減少工作流體的溫度。控制裝置亦可選擇性控制提供熱到熱交換器126之熱源。
控制裝置160亦可選擇性控制提供熱到熱交換器126的熱源127。在一些實施方式中,熱源127包含電阻式加熱 元件。在一些實施方式中,熱源127提供廢熱或回收的熱(如來自電漿源)。可使用其他熱源127而不背離本發明的範疇。
在一些實施方式中,控制裝置160可根據從溫度感測器165所接收到的信號來執行控制操作(如在此討論的任何操作)。溫度感測器165可為任何適當的感測器(如接觸或非接觸式),其組態成獲得溫度測量值,溫度測量值可經處理以估計工件130及/或工件支座120之溫度。來自溫度感測器165的信號,可在後曝光烘烤(PEB)製程期間用於工件130溫度的閉環控制。例如,控制裝置160可在來自溫度感測器的信號指示工件130的溫度到達PEB設定點溫度時,使該一或多個燈340去能。
在一些實施方式中,控制裝置160可包含處理器及關聯的記憶體,組態成執行多種電腦實施的功能。如在此所用「處理器」一詞,不僅指所屬技術領域中所述之包含在電腦中的積體電路,尚指控制器、微控制器、可編程邏輯控制器(PLC)、特殊應用積體電路(ASIC)、現場可編程閘陣列(FPGA)、及其他可編程電路。此外,記憶體可包含(諸)記憶體元件,包含但不限於,電腦可讀取媒體(如隨機存取記憶體(RAM))、電腦可讀取非依電性媒體(如快閃記憶體)、及/或其他適當的記憶體元件或上述之結合。此外,控制裝置160可包含通訊介面。在一些實例中,通訊介面可包含用來發送及接收資料之關聯的電子電路。
雖本發明之標的已以其特定示範實施方式加以詳細說明,然而應可理解地,相關技術領域具通常知識者,在了解前述說明後,可輕易地產生該等實施方式的修改、變化、及其等效物。據此,本發明之範疇為例示性而非限制性,且本揭露並不排除含括對所屬技術領域中具有通常知識者而言為顯而易見之針對本發明標的的此類修飾、變異、及/或增加。
100‧‧‧工件處理設備
110‧‧‧處理室
120‧‧‧工件支座
122‧‧‧流體輸入
124‧‧‧流體輸出
125‧‧‧流體為基的加熱元件
126‧‧‧熱交換器
127‧‧‧熱源
130‧‧‧工件
132‧‧‧非裝置側表面
134‧‧‧裝置側
160‧‧‧控制裝置
165‧‧‧溫度感測器

Claims (20)

  1. 一種處理一工件的方法,該方法包括:將具有一光阻層的一工件置於設置在一處理室內的一工件支座上;透過一光罩將該光阻曝露至一波長的光子;對具有該光阻層的該工件執行一曝光後烘烤加熱製程,其中該曝光後烘烤加熱製程包括使用一輻射熱源及設置在該工件支座中的一第二熱源兩者來加熱該工件,直到該工件的一溫度到達一曝光後烘烤設定點溫度。
  2. 如申請專利範圍第1項所述之方法,其中該曝光後烘烤加熱製程在少於約15秒將該工件加熱至大約該曝光後烘烤設定點溫度。
  3. 如申請專利範圍第1項所述之方法,其中該曝光後烘烤加熱製程在少於約5秒將該工件加熱至大約該曝光後烘烤設定點溫度。
  4. 如申請專利範圍第1項所述之方法,進一步包括一旦該工件到達該曝光後烘烤設定點溫度時,使該一或多個輻射熱源去能,同時藉由該第二熱源維持該工件的加熱。
  5. 如申請專利範圍第1項所述之方法,其中該曝光後烘烤設定點溫度介於約60℃與約150℃之間。
  6. 如申請專利範圍第1項所述之方法,其中該輻射熱源包括一或多個白熾燈。
  7. 如申請專利範圍第1項所述之方法,其中在該曝光後烘烤加熱製程中,將一濾波器置於該輻射熱源與該工件之間,該濾波器對該波長的光子之透射係至少部分具不透性。
  8. 如申請專利範圍第1項所述之方法,其中該輻射熱源包括一或多個發光二極體。
  9. 如申請專利範圍第1項所述之方法,其中該第二熱源包括設置在該工件支座中的一或多個電阻式加熱元件。
  10. 如申請專利範圍第1項所述之方法,其中該第二熱源包括設置在該工件支座中的一或多個流體為基礎的加熱元件。
  11. 如申請專利範圍第10項所述之方法,其中該第二熱源中的該一或多個流體為基礎的加熱元件係與一熱交換器流體式連接,且在該曝光後烘烤加熱製程中,一流體循環於該第二熱源中的該一或多個流體為基礎的加熱元件與該熱交換器之間。
  12. 如申請專利範圍第11項所述之方法,其中該流體為包含水、油、及二元醇之一或多者的工作液體流體。
  13. 如申請專利範圍第1項所述之方法,其中該光阻層為一化學增強阻劑層。
  14. 一種處理一工件的方法,該方法包括:透過一光罩將一工件上的一光阻層曝露至一波長的光子;將具有該光阻層的該工件置於設置在一處理室內的一工件支座上,該工件支座包括一或多個流體為基礎的加熱元件;在約一曝光後烘烤設定點溫度之下,對位在包含該些流體 為基礎的加熱元件之該工件支座上的具有該光阻層的該工件,執行一曝光後烘烤加熱製程。
  15. 如申請專利範圍第14項所述之方法,其中該工件支座中以該流體為基礎的加熱元件與一熱交換器流體式連接,且在該曝光後烘烤加熱製程中,一流體係循環於該工件支座中以該流體為基礎的加熱元件與該熱交換器之間。
  16. 如申請專利範圍第14項所述之方法,其中該流體為包含水、油、及二元醇之一或多者的工作液體流體。
  17. 如申請專利範圍第14項所述之方法,其中該曝光後烘烤設定點溫度在約60℃及約150℃的範圍中。
  18. 如申請專利範圍第14項所述之方法,其中該曝光後烘烤加熱製程在少於約15秒將該工件加熱至大約該曝光後烘烤設定點溫度。
  19. 如申請專利範圍第14項所述之方法,其中該曝光後烘烤加熱製程在少於約5秒將該工件加熱至大約該曝光後烘烤設定點溫度。
  20. 如申請專利範圍第14項所述之方法,其中該光阻層為一化學增強阻劑層。
TW108115908A 2018-06-15 2019-05-08 用於工件曝光後烘烤製程之方法與設備 TW202001436A (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862685608P 2018-06-15 2018-06-15
US62/685,608 2018-06-15
US201862735244P 2018-09-24 2018-09-24
US62/735,244 2018-09-24

Publications (1)

Publication Number Publication Date
TW202001436A true TW202001436A (zh) 2020-01-01

Family

ID=68840718

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108115908A TW202001436A (zh) 2018-06-15 2019-05-08 用於工件曝光後烘烤製程之方法與設備

Country Status (6)

Country Link
US (1) US11586113B2 (zh)
JP (1) JP7086232B2 (zh)
KR (1) KR102513167B1 (zh)
CN (1) CN112204470B (zh)
TW (1) TW202001436A (zh)
WO (1) WO2019240891A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11644748B2 (en) 2021-04-09 2023-05-09 Applied Materials, Inc. Multi-volume baking chamber for mask clean

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5875154A (ja) * 1981-10-29 1983-05-06 Toppan Printing Co Ltd 加熱装置
JPH07111948B2 (ja) * 1987-12-23 1995-11-29 東京エレクトロン九州株式会社 ベーキング装置
DE69703094T2 (de) * 1996-03-07 2001-05-03 Clariant Finance Bvi Ltd Thermisches verarbeitungsverfahren von positiver photoresist-zusammensetzung
US6358672B2 (en) * 1998-02-05 2002-03-19 Samsung Electronics Co., Ltd. Method of forming semiconductor device pattern including cross-linking and flow baking a positive photoresist
US6018616A (en) * 1998-02-23 2000-01-25 Applied Materials, Inc. Thermal cycling module and process using radiant heat
JP3634983B2 (ja) 1998-09-09 2005-03-30 東京エレクトロン株式会社 加熱処理装置
US6185370B1 (en) 1998-09-09 2001-02-06 Tokyo Electron Limited Heating apparatus for heating an object to be processed
US6254936B1 (en) 1998-09-14 2001-07-03 Silicon Valley Group, Inc. Environment exchange control for material on a wafer surface
US6497800B1 (en) 2000-03-17 2002-12-24 Nutool Inc. Device providing electrical contact to the surface of a semiconductor workpiece during metal plating
US6245491B1 (en) * 1999-02-05 2001-06-12 National Semiconductor Corp. Photo-assisted post exposure bake for chemically amplified photoresist process
US6494955B1 (en) 2000-02-15 2002-12-17 Applied Materials, Inc. Ceramic substrate support
JP2002023860A (ja) * 2000-07-12 2002-01-25 Komatsu Ltd 温度調整システム
US7015422B2 (en) * 2000-12-21 2006-03-21 Mattson Technology, Inc. System and process for heating semiconductor wafers by optimizing absorption of electromagnetic energy
US20030116087A1 (en) * 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030173346A1 (en) 2002-03-18 2003-09-18 Renken Wayne Glenn System and method for heating and cooling wafer at accelerated rates
US20060238954A1 (en) 2005-04-21 2006-10-26 Applied Materials, Inc., A Delaware Corporation Electrostatic chuck for track thermal plates
KR100626395B1 (ko) * 2005-06-29 2006-09-20 삼성전자주식회사 노광 후 베이크 장치 및 노광 후 베이크 방법, 그리고 상기장치를 가지는 포토 리소그래피 시스템
US7679714B2 (en) * 2006-10-12 2010-03-16 Asml Netherlands B.V. Lithographic apparatus, combination of lithographic apparatus and processing module, and device manufacturing method
KR100905258B1 (ko) * 2007-07-11 2009-06-29 세메스 주식회사 플레이트, 온도 조절 장치 및 이를 갖는 기판 처리 장치
US7838198B2 (en) * 2007-12-13 2010-11-23 International Business Machines Corporation Photoresist compositions and method for multiple exposures with multiple layer resist systems
JP5160920B2 (ja) * 2008-02-22 2013-03-13 ルネサスエレクトロニクス株式会社 半導体装置の製造方法、半導体装置の製造装置、及び製造プログラム
US7845868B1 (en) * 2009-09-09 2010-12-07 Nanya Technology Corporation Apparatus for semiconductor manufacturing process
US8435728B2 (en) * 2010-03-31 2013-05-07 Tokyo Electron Limited Method of slimming radiation-sensitive material lines in lithographic applications
US8764999B2 (en) * 2010-11-23 2014-07-01 Tokyo Electron Limited Sidewall image transfer pitch doubling and inline critical dimension slimming
JP5514759B2 (ja) * 2011-03-25 2014-06-04 富士フイルム株式会社 レジストパターン形成方法、レジストパターン、有機溶剤現像用の架橋性ネガ型化学増幅型レジスト組成物、レジスト膜、及びレジスト塗布マスクブランクス
JP5951209B2 (ja) * 2011-09-26 2016-07-13 株式会社Screenホールディングス 熱処理方法
JP2013101216A (ja) * 2011-11-08 2013-05-23 Hoya Corp レジストパターン付き基板の製造方法及びレジスト層付き基板の製造方法
JP6020344B2 (ja) * 2013-05-10 2016-11-02 東京エレクトロン株式会社 レジストパターン形成方法、塗布、現像装置、記憶媒体
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
CN105093863A (zh) * 2015-08-20 2015-11-25 上海华力微电子有限公司 一种光刻工艺的曝光后烘烤方法
JP6792368B2 (ja) 2016-07-25 2020-11-25 株式会社Screenホールディングス 熱処理装置、基板処理装置および熱処理方法

Also Published As

Publication number Publication date
WO2019240891A1 (en) 2019-12-19
KR20200143505A (ko) 2020-12-23
JP7086232B2 (ja) 2022-06-17
KR102513167B1 (ko) 2023-03-23
CN112204470A (zh) 2021-01-08
US11586113B2 (en) 2023-02-21
US20190384178A1 (en) 2019-12-19
CN112204470B (zh) 2024-04-16
JP2021527955A (ja) 2021-10-14

Similar Documents

Publication Publication Date Title
TW469491B (en) Silylation treatment unit and method
TWI585860B (zh) 控制瞬間退火程序之方法
KR102485541B1 (ko) 다른 필름 또는 마스크에 대한 실리콘-함유 반사 방지 코팅 또는 실리콘 산질화물의 제어 가능한 식각 선택비에 따른 기상 식각
KR102614222B1 (ko) 간접 표면 세정장치 및 방법
TWI232498B (en) Heat treatment apparatus and method of semiconductor wafer
EP1119028A1 (en) Apparatus for curing resist
TW202001436A (zh) 用於工件曝光後烘烤製程之方法與設備
US20200176266A1 (en) Gas phase etching system and method
JP2011099956A (ja) レジストのベーク方法及びベーク装置
US9588420B2 (en) Apparatus and method for indirect surface cleaning
CN105185691A (zh) 一种消除首片效应的方法
TWI607490B (zh) Photoresist pattern forming method, coating, developing device, memory medium
JP6081879B2 (ja) 塗布膜の形成方法、プログラム及びコンピュータ記憶媒体
JP2021086993A (ja) 基板処理方法および基板処理装置
US20230338993A1 (en) Apparatus for heating chemical liquid and system for treating substrate including the same
JP7323674B1 (ja) 薬液ヒーティング装置およびそれを備える基板処理システム
KR20180125077A (ko) 약액 가열 장치와 약액 가열 방법
CN117795433A (zh) 用于晶片中的干式显影副产物挥发的干式显影装置和方法
JP4202962B2 (ja) 基板処理方法及び半導体装置の製造方法
KR100610266B1 (ko) 열회로를 갖는 웨이퍼 및 이의 전기공급시스템
KR100645975B1 (ko) 반도체 웨이퍼의 가열/냉각장치
KR20220063518A (ko) 약액 히팅 장치 및 이를 구비하는 기판 처리 시스템
KR100567663B1 (ko) 포토 레지스터 스트립용 원적외선 가열장치
KR100301058B1 (ko) 포토레지스트 플로우가 가능한 자외선 베이크 설비
KR20080093825A (ko) 냉각 플레이트 및 이를 포함하는 웨이퍼 냉각장치