JP2021518673A - 統合的な計測を伴う基板処理ツール並びに使用方法 - Google Patents

統合的な計測を伴う基板処理ツール並びに使用方法 Download PDF

Info

Publication number
JP2021518673A
JP2021518673A JP2020550762A JP2020550762A JP2021518673A JP 2021518673 A JP2021518673 A JP 2021518673A JP 2020550762 A JP2020550762 A JP 2020550762A JP 2020550762 A JP2020550762 A JP 2020550762A JP 2021518673 A JP2021518673 A JP 2021518673A
Authority
JP
Japan
Prior art keywords
substrate
substrate processing
chamber
processing
measurement module
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020550762A
Other languages
English (en)
Other versions
JP7295359B2 (ja
JPWO2019182916A5 (ja
Inventor
タピリー,カンダバラ
クラーク,ロバート
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2021518673A publication Critical patent/JP2021518673A/ja
Publication of JPWO2019182916A5 publication Critical patent/JPWO2019182916A5/ja
Application granted granted Critical
Publication of JP7295359B2 publication Critical patent/JP7295359B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Automation & Control Theory (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

統合的な基板処理及び基板計測を実施するように構成された基板処理ツール、並びに基板の処理方法。基板処理ツールは、基板搬送チャンバと、基板搬送チャンバに結合された複数の基板処理チャンバと、基板搬送チャンバに結合された基板計測モジュールとを含む。基板処理方法は、基板処理ツールの第1基板処理チャンバ内で基板を処理することと、第1基板処理チャンバから基板搬送チャンバを通して、基板処理ツール内の基板計測モジュールに基板を搬送することと、基板計測モジュール内で基板に対して計測を実施することと、基板計測モジュールから基板搬送チャンバを通して第2基板処理チャンバに基板を搬送することと、第2基板処理チャンバ内で基板を処理することと、を含む。

Description

関連出願の相互参照
本出願は、2018年3月20日に出願された米国仮特許出願第62/645,685号明細書に関するものであり、その優先権を主張し、その全内容が、参照により本願明細書に援用される。
本発明は、基板処理に関し、より具体的には、統合的な基板処理及び基板計測を実施するように構成された基板処理ツール、並びに使用方法に関する。
製造されるトランジスタが小型化するにつれて、パターン形成されるフィーチャのクリティカルディメンション(CD)又は解像度の生成がますます困難になっている。EUV(Extreme Ultraviolet:極端紫外線)導入後であってもコスト効率の良いスケーリングを継続できるようにするには、自己整合パターニングが、重ね合わせ式パターニング(overlay−driven patterning)に取って代わる必要がある。ばらつきの低減、スケーリングの拡張、並びにCD及びプロセス制御の向上を可能にするパターニングオプションが必要である。しかし、スケーリングされたデバイスを手頃な低コストで製造することは極めて困難になってきている。選択的堆積は、高度なパターニングに関連するコストを大幅に削減することができる。ギャップ充填などの薄膜の選択的堆積、特定基板上への誘電体及び金属の領域選択的堆積、並びに選択的ハードマスクは、高度にスケーリングされた技術ノードでのパターニングにおける重要な工程である。
本発明の実施形態は、統合的な基板処理及び基板計測を実施するように構成された基板処理ツール、並びに基板の処理方法について記載する。
一実施形態によれば、基板処理ツールは、基板搬送チャンバと、基板搬送チャンバに結合された複数の基板処理チャンバと、基板搬送チャンバに結合された基板計測モジュールとを含む。
一実施形態によれば、基板処理方法は、基板処理ツールの第1基板処理チャンバ内で基板を処理することと、第1基板処理チャンバから基板搬送チャンバを通して、基板処理ツール内の基板計測モジュールに基板を搬送することと、基板計測モジュール内で基板に対して計測を実施することと、基板計測モジュールから基板搬送チャンバを通して第2基板処理チャンバに基板を搬送することと、第2基板処理チャンバ内で基板を処理することと、を含む。
以下の詳細な説明を参照し、特に添付図面と併せて検討すると、本発明の様々な実施形態のより詳細な理解及びそれらに付随する利点の多くが容易に明らかになるであろう。
本発明の一実施形態による、統合的な基板処理及び基板計測を実施するように構成された基板処理ツールの概略図である。 本発明の一実施形態による領域選択的膜形成の方法を概略断面図で示す。 本発明の一実施形態による、統合的な基板処理及び基板計測を実施するためのプロセスフロー図である。 本発明の別の実施形態による、統合的な基板処理及び基板計測を実施するためのプロセスフロー図である。
本発明の実施形態は、統合的な基板処理及び基板計測を実施するように構成された基板処理ツール、並びに基板の処理方法について記載する。
本発明の実施形態は、統合的な基板処理、及び統合的な基板処理中に基板計測を実施する必要性に対処する。一例では、基板処理ツールでの領域選択的膜堆積中、堆積選択性の喪失を測定し、キャラクタライズするために、膜堆積工程に続いて、処理ツール内で基板計測を実施してもよく、選択的膜形成を達成するために、基板計測データに基づいて、不要な膜核の除去を実施してもよい。基板計測工程の結果を用いて、膜堆積工程におけるばらつきに基づき、膜核除去工程を調整してもよい。更に、人工知能(AI)を用いて、基板計測結果を分析し、将来の膜厚及び膜堆積選択性を予測してもよい。
図1は、本発明の実施形態による、統合的な基板処理及び基板計測を実施するように構成された基板処理ツールの概略図である。基板処理ツール100は、カセットモジュール101A、101B、及び101Cと、基板位置合わせモジュール101Dとを含む、基板(ウェーハ)搬送システム101を含む。ロードロックチャンバ102A及びロードロックチャンバ102B並びに基板計測モジュール102Cが、基板搬送システム101に結合されている。基板搬送システム101は大気圧に維持されるが、不活性ガスでのパージにより清浄な環境が提供される。ロードロックチャンバ102A及びロードロックチャンバ102Bは基板搬送チャンバ103に結合されており、基板を基板搬送システム101から基板搬送チャンバ103に搬送するために使用されてもよい。基板搬送チャンバ103は、非常に低いベース圧力(例えば、5x10−8トル以下)に維持されてもよい、又は不活性ガスで常時パージされてもよい。
基板計測モジュール102Cは、大気圧下で動作させても真空条件下で動作させてもよく、基板並びに/又は基板上に堆積した薄膜及び層の1つ以上の材料及び電子的特性を測定することが可能な1つ以上の分析ツールを含むことができる。1つ以上の分析ツールのいくつか又は全ての構成要素は、基板計測モジュール102C内の真空環境中に位置してもよい。一例では、光源は、基板計測モジュール102Cの外部に配置されてもよく、光源からの光を、窓を通して、基板計測モジュール102C内及び基板上に透過させてもよい。或いは、光源は、基板計測モジュール102Cの内部に配置されてもよい。
例示的な分析ツールとしては、材料の元素組成、実験式、化学状態、及び電子状態を測定するためのX線光電子分光法(XPS);表面、薄膜、及び多層をキャラクタライズするためのX線反射率法(XRR);材料の元素分析及び化学分析用の蛍光X線分析法(XRF);材料をキャラクタライズするためのフーリエ変換赤外分光法(FTIR);薄膜の厚さ及び光学特性を測定するための紫外線/可視光(UV/Vis)分光法;表面、薄膜、及び多層をキャラクタライズするための光学スキャトロメトリ(optical scatterometry);薄膜の組成、粗さ、厚さ(深さ)、結晶性、ドーピング濃度、導電率、及びその他の材料特性をキャラクタライズするためのエリプソメトリ;並びに基板の湾曲及び反りを測定するための分析ツールが挙げられ得る。
基板搬送チャンバ103に結合されているのは、Siウェーハなどの基板を処理するように構成された基板処理チャンバ106A〜106Dである。Siウェーハは、例えば、150mm、200mm、300mm、450mm、又は450mmよりも大きな直径を有することができる。本発明の一実施形態によれば、第1基板処理チャンバ106Aは、基板に対して処理プロセスを実施することができ、第2基板処理チャンバ106Bは、基板上に自己整合単層(SAM:self−aligned monolayer)を形成することができる。第3基板処理チャンバ106Cは、基板をエッチングすること又は清浄にすることができ、第4基板処理チャンバ106Dは、原子層堆積法(ALD)、プラズマ強化ALD(PEALD)、化学気相成長法(CVD)、又はプラズマ強化CVD(PECVD)などの気相成長によって基板上に膜を堆積させることができる。基板搬送チャンバ103は、基板処理チャンバ106A〜106Dのいずれかの間で、及び基板計測モジュール102Cに基板を搬送するように構成されている。図1は、隣接する処理ツール構成要素間に分離を提供するゲートバルブG1〜G9を更に示す。図1の実施形態に示すように、基板処理チャンバ106A〜106D及び基板計測モジュール102Cは、ゲートバルブG5、G7、G8、G9、及びG10によって基板搬送チャンバ103に直接的に結合されてもよい。この直接結合により、基板のスループットを大きく改善することができる。
基板処理ツール100は、統合的な基板処理及び基板計測中、図1に示したツール構成要素のいずれか又は全てに結合し、これを制御することができるコントローラ110を含む。或いは又は加えて、コントローラ110は、1つ以上の更なるコントローラ/コンピュータ(図示せず)に接続することができ、コントローラ110は、更なるコントローラ/コンピュータからセットアップ及び/又はコンフィギュレーション情報を取得することができる。コントローラ110を使用して、基板処理チャンバ及び処理要素のいずれか又は全てをコンフィギュレーションすることができ、コントローラ110は、ツール構成要素のいずれか又は全てからのデータを収集、提供、処理、保存、及び表示することができる。コントローラ110は、ツール構成要素のいずれか又は全てを制御するためのいくつかのアプリケーションを含むことができる。例えば、コントローラ110は、ユーザが1つ以上のツール構成要素を監視及び/又は制御することを可能にする使いやすいインターフェースを提供することができるグラフィックユーザインターフェース(GUI)コンポーネントを含むことができる。
コントローラ110は、マイクロプロセッサ、メモリ、及びデジタルI/Oポートを含むことができ、これらは、基板処理ツール100と通信し、入力をアクティブにし、情報を交換することに加えて、基板処理ツール100からの出力を監視することのために十分な制御電圧を生成することができる。例えば、統合的な基板処理を実施するために、メモリに格納されたプログラムを用いて、プロセスレシピに従い基板処理ツール100の入力をアクティブにしてもよい。コントローラ110は、プロセッサがメモリに含まれる1つ以上の命令の1つ以上のシーケンスを実行したことに応答して本発明のマイクロプロセッサベースの処理工程の一部又は全部を実施する汎用コンピュータシステムとして実装されてもよい。このような命令は、ハードディスク又はリムーバブルメディアドライブなどの別のコンピュータ可読媒体からコントローラのメモリに読み込まれてもよい。メインメモリに含まれる命令のシーケンスを実行するために、マルチプロセッシング構成の1つ以上のプロセッサもまた、コントローラのマイクロプロセッサとして用いてよい。別の実施形態では、ハードワイヤード回路を、ソフトウェア命令の代わりに、又はソフトウェア命令と組み合わせて使用してもよい。したがって、実施形態は、ハードウェア回路及びソフトウェアの任意の特定の組み合わせに限定されない。
コントローラ110は、基板処理ツール100に対してローカルに配置されてもよい、又は基板処理ツール100に対してリモートに配置されてもよい。例えば、コントローラ110は、直接接続、イントラネット、インターネット、及び無線接続のうちの少なくとも1つを使用して、基板処理ツール100とデータを交換してもよい。コントローラ110は、例えば、カスタマーサイト(即ち、デバイスメーカ等)のイントラネットに接続されてもよい、又は例えば、ベンダーサイト(即ち、機器製造業者)のイントラネットに接続されてもよい。これに加えて、例えば、コントローラ110はインターネットに接続されてもよい。更に、別のコンピュータ(即ち、コントローラ、サーバ等)が、直接接続、イントラネット、及びインターネットのうちの少なくとも1つを介して、例えばコントローラ110にアクセスし、データを交換してもよい。また、当業者には理解されるように、コントローラ110は、無線接続を介して、基板処理ツール100とデータを交換してもよい。
基板処理の例
ここで、図1、図2A〜図2E、及び図3を参照すると、一実施形態によれば、基板処理ツール100は、基板上の領域選択的堆積の方法を実施及び監視するように構成されてもよい。本実施形態では、基板200は、ベース層202と、第1材料層204の露出面と、第2材料層206の露出面とを含む。一例では、基板200は、誘電体層204と金属層206とを含む。例えば、金属層206は、Cu、Al、Ta、Ti、W、Ru、Co、Ni、又はMoを含有することができる。誘電体層204は、例えば、SiO、低誘電率誘電体材料、又は高誘電率誘電体材料を含有することができる。低誘電率誘電体材料は、SiOの比誘電率(約4)よりも低い公称比誘電率を有する(例えば、熱的に成長した二酸化ケイ素の比誘電率は、3.8〜3.9の範囲であり得る)。高誘電率材料は、SiOの比誘電率よりも高い公称比誘電率を有する。
低誘電率誘電体材料は、3.7未満の比誘電率、又は1.6〜3.7の範囲の比誘電率を有し得る。低誘電率誘電体材料としては、フッ素化シリコンガラス(FSG)、炭素ドープ酸化物、ポリマー、SiCOH含有低誘電率材料、非多孔質低誘電率材料、多孔質低誘電率材料、スピンオン誘電体(SOD)低誘電率材料、又は任意の他の適切な誘電体材料が挙げられ得る。低誘電率誘電体材料としては、Applied Materials,Inc.から市販されているBLACK DIAMOND(登録商標)(BD)若しくはBLACK DIAMOND(登録商標)II(BDII)SiCOH材料、又はNovellus Systems,Inc.から市販されているCoral(登録商標)CVD膜が挙げられ得る。他の市販の炭素含有材料としては、Dow Chemicalから入手可能なSILK(登録商標)(例えば、SiLK−I、SiLK−J、SiLK−H、SiLK−D、及び多孔質SiLK半導体誘電体樹脂)及びCYCLOTENE(登録商標)(ベンゾシクロブテン)、並びにHoneywellから入手可能なGX−3(商標)及びGX−3P(商標)半導体誘電体樹脂が挙げられる。
低誘電率誘電体材料としては、硬化又は堆積プロセス中の膜の完全緻密化を阻害して小さなボイド(又は細孔)を形成するCH結合を有する酸化ケイ素系マトリックスなどの単相を含む多孔質無機有機ハイブリッド膜が挙げられる。また或いは、これらの誘電体層としては、硬化プロセス中に分解され、蒸発する有機材料(例えば、ポロゲン)の細孔を有する炭素ドープ酸化ケイ素系マトリックスなどの少なくとも2相を含む多孔質無機有機ハイブリッド膜が挙げられ得る。
加えて、低誘電率材料としては、SOD技術を用いて堆積させた水素シルセスキオキサン(HSQ)又はメチルシルセスキオキサン(MSQ)などのケイ酸塩系材料が挙げられる。このような膜の例としては、Dow Corningから市販されているFOx(登録商標)HSQ、Dow Corningから市販されているXLK多孔質HSQ、及びJSR Microelectronicsから市販されているJSR LKD−5109が挙げられる。
当該方法は、プロセスフロー300の工程302において、基板搬送システム101に基板200を供給すること、その後、基板搬送チャンバ103に基板200を搬送することを更に含む。
その後、工程304において、基板200は、基板計測モジュール102Cに任意選択的に搬送され、そこで、基板200は測定され、キャラクタライズされる。
工程306において、基板200は、処理ガスで処理するために、第1基板処理チャンバ106Aに任意選択的に搬送される。例えば、処理ガスとしては、酸化性ガス又は還元ガスが挙げられ得る。いくつかの例では、酸化性ガスとしては、O、HO、H、イソプロピルアルコール、又はこれらの組み合わせを挙げることができ、還元ガスとしては、Hガスを挙げることができる。後の領域選択的堆積を向上させるために、酸化性ガスを使用して、第1材料層204又は第2材料206の表面を酸化させてもよい。一例では、処理ガスは、プラズマ励起Arガスを含有し得る又はプラズマ励起Arガスからなり得る。
工程308において、基板200は、基板計測モジュール102Cに任意選択的に搬送され、そこで、工程306における基板200の処理が測定され、キャラクタライズされる。
その後、基板は、第2基板処理チャンバ106Bに搬送され、そこで、工程310において、自己整合単層(SAM)が基板200上に形成される。SAMは、基板200上にSAMを形成することができる分子を含有する反応ガスに曝されることにより基板200上に形成され得る。SAMは、吸着により基板表面上に自発的に形成されて、多少大きな秩序ドメインに組織化された分子集合体である。SAMは、先端基、テール基、及び官能性末端基を有する分子を含むことができ、SAMは、室温で又は室温超で気相から基板200上に先端基を化学吸着させ、その後、テール基をゆっくりと組織化させることにより形成される。最初、表面上の分子密度が小さい時には、吸着質分子は、無秩序な分子の塊を形成するか、秩序のある2次元の「横たわる相(lying down phase)」を形成するかのいずれかであり、分子による被覆範囲が広くなると、数分から数時間をかけて、基板表面上に3次元の結晶構造又は半結晶構造を形成し始める。先端基は基板上に集合し、テール基は基板から離れたところに集合する。
一実施形態によれば、SAMを形成する分子の先端基としては、チオール、シラン、又はホスホネートが挙げられ得る。シランの例としては、C、H、Cl、F、及びSi原子、又はC、H、Cl、及びSi原子を含む分子が挙げられる。分子の非限定的な例としては、パーフルオロデシルトリクロロシラン(CF(CFCHCHSiCl)、パーフルオロデカンチオール(CF(CFCHCHSH)、クロロデシルジメチルシラン(CH(CHCHSi(CHCl)、及びtert−ブチル(クロロ)ジメチルシラン((CHCSi(CHCl))が挙げられる。
基板200上のSAMの存在を用い、第2材料層206(例えば、金属層)に対して、第1材料層204(例えば、誘電体層)上への後続の選択的膜堆積を可能にしてもよい。この選択的堆積挙動は予期せぬものであり、第2材料層206上の金属酸化物の堆積を防止又は低減しつつ、第1材料層204上に膜を選択的に堆積させるための新たな方法を提供する。SAM密度は、第1材料層204上に比べると第2材料層206上の方が高いと推測される。これはおそらく、第1材料層204上に比して第2材料層206上の分子の初期秩序化がより高いことによる。この第2材料層206上のより高いSAM密度は、図2BにSAM208として概略的に示される。
基板200上のSAM208の形成に続いて、工程312において、基板200は、基板計測モジュール102Cに任意選択的に搬送され、そこで、基板200上のSAM208の形成が測定され、キャラクタライズされる。
その後、基板200は、第4基板処理チャンバ106Dに搬送され、そこで、工程314において、基板200を1種以上の堆積ガスに曝すことにより、膜210(例えば、金属酸化物膜)が、SAM208を含む第2材料層206上に対して、第1材料層204上に選択的に堆積される。一例では、膜210としては、HfO、ZrO、又はAlを含有する金属酸化物膜が挙げられ得る。膜210は、例えば、CVD、プラズマ強化CVDPEALD)、ALD、又はプラズマ強化ALD(PEALD)によって堆積させてもよい。いくつかの例では、膜210は、金属含有前駆体と酸化剤(例えば、HO、H、プラズマ励起O、又はO)との交互曝露を用いて、ALDにより堆積させてもよい。
図2Cに示すように、第3基板処理チャンバ106C内で1種以上の堆積ガスに曝露すると、第1材料層204上に膜210を堆積させることに加えて、SAM208上に膜核210’を堆積させることがある。この堆積選択性の喪失は、堆積プロセスがあまりにも長く行われた場合、又は第1材料層204とSAM208との間の堆積選択性が乏しい場合に生じ得る。乏しい堆積選択性は、SAM208の表面被覆が不完全であり、第2材料層206上にボイドを含有する場合にも生じ得る。
基板200上の膜210の堆積に続いて、工程316において、基板200は、基板計測モジュール102Cに搬送され、そこで、膜210の堆積が測定され、キャラクタライズされる。キャラクタリゼーションにより、堆積選択性の程度、及びSAM208から膜核210’の除去が必要かを決定することができる。
第1材料層204上に膜210を選択的に形成するために、SAM208上の膜核210’は、エッチングプロセスを使用して除去してもよい。基板200は、工程318においてエッチングプロセスを実施するために、第3基板処理チャンバ106Cに搬送される。膜210もまた、エッチングプロセスによって部分的に除去され得るが、金属酸化物核210’は、膜210よりも速くエッチングされると予想される。エッチングプロセスは、ドライエッチングプロセス、ウェットエッチングプロセス、又はこれらの組み合わせを含むことができる。一例では、エッチングプロセスは、原子層エッチング(ALE)プロセスを含み得る。図2Dに示される得られた基板200は、第1材料層204上に選択的に形成された膜210を有する。
エッチングプロセスに続いて、工程320において、基板200は、基板計測モジュール102Cに任意選択的に搬送され、そこで、基板200は測定され、キャラクタライズされる。キャラクタリゼーションにより、エッチングプロセスの程度を決定することができる。
その後、工程322において、SAM208は、例えば、第3基板処理チャンバ106C内でのエッチング若しくは洗浄により、又は第1基板処理チャンバ106A内での熱処理により、基板200から除去されてもよい。
プロセス矢印324により概略的に示されるように、上記の基板処理工程304〜322は、基板200上の膜210の厚さを増すために1回以上繰り返されてもよい。SAM208が膜堆積及び/又はエッチングプロセス中に損傷し、それによって膜堆積選択性に影響を及ぼす場合、基板200上のSAM208を除去し、それに続いて堆積を繰り返すことが望ましい場合がある。
図4は、本発明の一実施形態による、統合的な基板処理及び基板計測を実施するためのプロセスフロー図である。図1及び図2A〜図2Eも参照すると、図4のプロセスフロー図400は、図3のプロセスフロー図300に類似し、工程402において、基板処理ツール100内に基板200を供給することを含み、基板200は、第1材料層204の露出面と、第2材料層206の露出面とを含む。一例では、第1材料層204は誘電体層を含み、第2材料層206は金属層を含む。当該方法は、工程404において、任意選択的に、基板計測を実施すること、工程406において、任意選択的に、基板200を処理ガスで処理すること、及び工程408において、任意選択的に、基板計測を実施することを更に含む。
当該方法は、工程410において、基板200上にSAM208を形成すること、及び工程412において、任意選択的に、基板計測を実施することを更に含む。当該方法は、工程414において、第1材料層204上に膜210及びSAM208上に膜核210’を堆積させること、及び工程416において、基板計測を実施することを更に含む。当該方法は、工程418において、SAM208から膜核210’を除去すること、及び工程420において、任意選択的に、基板計測を実施することを更に含む。422において、任意選択的に、基板200を処理ガスで処理することを更に含む。プロセス矢印424により概略的に示されるように、上記の基板処理工程412〜422は、基板200上の膜210の厚さを増すために1回以上繰り返されてもよい。
統合的な基板処理及び基板計測を実施するように構成された基板処理ツール、並びに領域選択的堆積の方法を、様々な実施形態において開示してきた。本発明の実施形態の上述の説明は、例示及び説明を目的として提示したものである。この説明は、網羅的であること、又は開示されている厳密な形態に本発明を限定することを意図するものではない。本明細書及び以下の特許請求の範囲は、説明目的でのみ使用される用語を含み、限定するものとして解釈されないものとする。関連する技術分野の当業者であれば、上記教示に照らして多くの修正及び変形が可能であることを理解することができる。当業者は、図に示されている様々な構成要素の様々な等価な組み合わせ及び置換を認識するであろう。したがって、本発明の範囲は、この詳細な説明によってではなく、むしろ本明細書に添付の特許請求の範囲によって限定されることを意図している。

Claims (20)

  1. 基板搬送チャンバと、
    前記基板搬送チャンバに結合された複数の基板処理チャンバと、
    前記基板搬送チャンバに結合された基板計測モジュールと、
    を含む、基板処理ツール。
  2. 前記基板計測モジュールは、基板又は基板上に形成された薄膜及び層の1つ以上の材料特性を測定する1つ以上の分析ツールを含む、請求項1に記載の基板処理ツール。
  3. 前記基板搬送チャンバは、基板搬送ロボットを含む、請求項1に記載の基板処理ツール。
  4. 前記複数の基板処理チャンバは、
    自己組織化単層(SAM)プロセスを実施するように構成された第1基板処理チャンバと、
    膜堆積プロセスを実施するように構成された第2基板処理チャンバと、
    エッチングプロセスを実施するように構成された第3基板処理チャンバと、
    を含む、請求項1に記載の基板処理ツール。
  5. 前記第2基板処理チャンバは、原子層堆積法(ALD)、プラズマ強化ALD(PEALD)、化学気相成長法(CVD)、又はプラズマ強化CVD(PECVD)により、膜堆積プロセスを実施するように構成されている、請求項4に記載の基板処理ツール。
  6. 反応性処理ガス、熱処理、又はこれらの組み合わせを使用して処理プロセスを実施するように構成された第4基板処理チャンバを更に含む、請求項4に記載の基板処理ツール。
  7. 前記基板計測モジュールは、ゲートバルブによって前記基板搬送チャンバに直接的に結合されている、請求項1に記載の基板処理ツール。
  8. 基板処理ツールの第1基板処理チャンバ内で基板を処理することと、
    前記第1基板処理チャンバから基板搬送チャンバを通して、前記基板処理ツール内の基板計測モジュールに前記基板を搬送することと、
    前記基板計測モジュール内で前記基板に対して計測を実施することと、
    前記基板計測モジュールから前記基板搬送チャンバを通して前記第2基板処理チャンバに前記基板を搬送することと、
    前記第2基板処理チャンバ内で前記基板を処理することと、
    を含む、基板処理方法。
  9. 前記基板計測モジュールは、ゲートバルブによって前記基板搬送チャンバに直接的に結合されている、請求項8に記載の基板処理方法。
  10. 前記第1基板処理チャンバは、膜堆積プロセスを実施するように構成されており、前記第2処理チャンバは、エッチングプロセスを実施するように構成されている、請求項8に記載の基板処理方法。
  11. 前記基板計測モジュールは、基板又は前記基板上に形成された薄膜及び層の1つ以上の材料特性を測定する1つ以上の分析ツールを含む、請求項8に記載の基板処理方法。
  12. 基板処理ツール内に基板を供給することであって、前記基板は、第1材料層の露出面と第2材料層の露出面とを含む、ことと、
    第1基板処理チャンバ内で前記基板上に自己組織化単層(SAM)を形成することと、
    前記第1基板処理チャンバから基板搬送チャンバを通して第2基板処理チャンバに前記基板を搬送することと、
    前記第2基板処理チャンバ内で前記第1材料層上に膜を、及び前記自己組織化単層上に膜核を堆積させることと、
    前記第2基板処理チャンバから前記基板搬送チャンバを通して基板計測モジュールに前記基板を搬送することと、
    前記基板計測モジュール内で前記膜に対して計測を実施することと、
    前記基板計測モジュールから前記基板搬送チャンバを通して第3基板処理チャンバに前記基板を搬送することと、
    前記第3基板処理チャンバ内でエッチングによって前記自己組織化単層から前記膜核を除去することと、
    を含む、基板処理方法。
  13. 前記基板計測モジュールは、ゲートバルブによって前記基板搬送チャンバに直接的に結合されている、請求項12に記載の基板処理方法。
  14. 第4基板処理チャンバ内で、反応性処理ガス、熱処理、又はこれらの組み合わせを使用し、前記基板に対して処理プロセスを実施することを更に含む、請求項12に記載の基板処理方法。
  15. 前記第1材料層は誘電体層を含む、請求項12に記載の基板処理方法。
  16. 前記第2材料層は金属層を含む、請求項12に記載の基板処理方法。
  17. 前記金属層は、Cu、Al、Ta、Ti、W、Ru、Co、Ni、又はMoを含有する、請求項16に記載の基板処理方法。
  18. 前記膜は金属酸化物を含む、請求項12に記載の基板処理方法。
  19. 前記SAMの密度は、前記第1材料層上よりも前記第2材料層上の方が高い、請求項12に記載の基板処理方法。
  20. 前記SAMは、先端基、テール基、及び官能性末端基を含有する複数の分子を含み、前記先端基は、チオール、シラン、又はホスホネートを含む、請求項12に記載の基板処理方法。
JP2020550762A 2018-03-20 2019-03-15 統合的な計測を伴う基板処理ツール並びに使用方法 Active JP7295359B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
PCT/US2019/022617 WO2019182916A1 (en) 2018-03-20 2019-03-15 Substrate processing tool with integrated metrology and method of using

Publications (3)

Publication Number Publication Date
JP2021518673A true JP2021518673A (ja) 2021-08-02
JPWO2019182916A5 JPWO2019182916A5 (ja) 2022-03-16
JP7295359B2 JP7295359B2 (ja) 2023-06-21

Family

ID=67984323

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020550762A Active JP7295359B2 (ja) 2018-03-20 2019-03-15 統合的な計測を伴う基板処理ツール並びに使用方法

Country Status (6)

Country Link
US (2) US11264254B2 (ja)
JP (1) JP7295359B2 (ja)
KR (1) KR102655137B1 (ja)
CN (1) CN112074939A (ja)
TW (1) TW201941346A (ja)
WO (1) WO2019182916A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3540767A1 (en) * 2018-03-16 2019-09-18 ASML Netherlands B.V. Inspection system, lithographic apparatus, and inspection method
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films
US20210202244A1 (en) * 2019-12-30 2021-07-01 Tokyo Electron Limited High-throughput multi-stage manufacturing platform and method for processing a plurality of substrates
JP7353200B2 (ja) 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
JP2022091523A (ja) * 2020-12-09 2022-06-21 東京エレクトロン株式会社 成膜方法
JP2022129872A (ja) * 2021-02-25 2022-09-06 株式会社Screenホールディングス 基板処理方法および基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179120A (ja) * 1994-08-19 2003-06-27 Tokyo Electron Ltd 処理装置
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6911400B2 (en) * 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
US20040126482A1 (en) 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US20070264106A1 (en) * 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
US20070134821A1 (en) 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20070196011A1 (en) 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
JP2007088401A (ja) * 2005-08-25 2007-04-05 Tokyo Electron Ltd 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
JP2009064726A (ja) 2007-09-07 2009-03-26 Tokyo Electron Ltd 基板検査装置及び基板検査方法並びに記憶媒体
CN101911253B (zh) 2008-01-31 2012-08-22 应用材料公司 闭环mocvd沉积控制
WO2010073935A1 (ja) * 2008-12-26 2010-07-01 東京エレクトロン株式会社 金属膜の膜厚測定方法及び基板処理方法及び装置
US7919335B2 (en) 2009-04-20 2011-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of shallow trench isolation using chemical vapor etch
WO2012088371A1 (en) * 2010-12-22 2012-06-28 Brooks Automation, Inc. Workpiece handling module
US8954184B2 (en) 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
JP6594304B2 (ja) * 2013-10-18 2019-10-23 ブルックス オートメーション インコーポレイテッド 処理装置
EP3102715A1 (en) 2014-02-04 2016-12-14 Applied Materials, Inc. Evaporation source for organic material, apparatus having an evaporation source for organic material, system having an evaporation deposition apparatus with an evaporation source for organic materials, and method for operating an evaporation source for organic material
US9287386B2 (en) * 2014-06-19 2016-03-15 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10020204B2 (en) * 2016-03-10 2018-07-10 Applied Materials, Inc. Bottom processing
KR102312824B1 (ko) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
KR102463922B1 (ko) 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US11081342B2 (en) * 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003179120A (ja) * 1994-08-19 2003-06-27 Tokyo Electron Ltd 処理装置
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール

Also Published As

Publication number Publication date
KR102655137B1 (ko) 2024-04-04
KR20200124314A (ko) 2020-11-02
US11769677B2 (en) 2023-09-26
JP7295359B2 (ja) 2023-06-21
US11264254B2 (en) 2022-03-01
WO2019182916A1 (en) 2019-09-26
TW201941346A (zh) 2019-10-16
CN112074939A (zh) 2020-12-11
US20190295870A1 (en) 2019-09-26
US20220181176A1 (en) 2022-06-09

Similar Documents

Publication Publication Date Title
US11769677B2 (en) Substrate processing tool with integrated metrology and method of using
JP6516797B2 (ja) 周期的処理を使用した選択的膜堆積のための方法及び装置
CN105762060B (zh) 氧化硅和氧化锗的各向同性原子层蚀刻
CN109637926B (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
CN103474344B (zh) 用于形成含有非晶碳膜的构造的分批处理方法
JP2018142698A (ja) エアギャップの形成方法
US20070235411A1 (en) Method for removing damaged dielectric material
CN109791870A (zh) 半导体器件制造中高品质氧化硅膜的低温形成
WO2010147140A1 (ja) バリヤ層、成膜方法及び処理システム
TW201635383A (zh) 使用一氧化氮活化之矽氧化物的同向性原子層蝕刻
TW201905971A (zh) 用於達成無缺陷自組裝單層的晶圓處理
JP2020515082A (ja) 誘電体膜の選択的堆積のための方法及び装置
KR101423019B1 (ko) 미세 패턴의 형성 방법
US20090104541A1 (en) Plasma surface treatment to prevent pattern collapse in immersion lithography
JP7194116B2 (ja) 酸化ケイ素の核形成/接着の向上により膜粗さを改善するための処理アプローチ
JP2022541535A (ja) 領域選択的堆積における横方向のフィルム成長を緩和するための方法
JP4716370B2 (ja) 低誘電率膜のダメージ修復方法及び半導体製造装置
US9850574B2 (en) Forming a low-k dielectric layer with reduced dielectric constant and strengthened mechanical properties
TW201835382A (zh) 於含矽表面的選擇性沉積
WO2021118993A1 (en) Self-assembled monolayers as sacrificial capping layers
JP7471492B2 (ja) 炭化タングステン膜の接着性及び欠陥を改善する技法
WO2008042691A2 (en) Processing system containing a hot filament hydrogen radical source for integrated substrate processing
TWI767964B (zh) 後段介電質蝕刻用之選擇性沉積方法
TW202139325A (zh) 用於處理複數基板的高產量多級式製造平台及方法
JP2023143793A (ja) 基板処理方法及びこれを用いた選択的蒸着方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220308

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220308

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230411

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20230509

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230509

R150 Certificate of patent or registration of utility model

Ref document number: 7295359

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150