KR20200124314A - 계측 통합형 기판 프로세싱 툴 및 그 이용 방법 - Google Patents

계측 통합형 기판 프로세싱 툴 및 그 이용 방법 Download PDF

Info

Publication number
KR20200124314A
KR20200124314A KR1020207029987A KR20207029987A KR20200124314A KR 20200124314 A KR20200124314 A KR 20200124314A KR 1020207029987 A KR1020207029987 A KR 1020207029987A KR 20207029987 A KR20207029987 A KR 20207029987A KR 20200124314 A KR20200124314 A KR 20200124314A
Authority
KR
South Korea
Prior art keywords
substrate
substrate processing
chamber
processing chamber
transfer chamber
Prior art date
Application number
KR1020207029987A
Other languages
English (en)
Other versions
KR102655137B1 (ko
Inventor
칸다바라 타필리
로버트 클라크
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20200124314A publication Critical patent/KR20200124314A/ko
Application granted granted Critical
Publication of KR102655137B1 publication Critical patent/KR102655137B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Abstract

통합된 기판 프로세싱 및 기판 계측을 실시하도록 구성된 기판 프로세싱 툴, 및 기판 프로세싱 방법. 기판 프로세싱 툴은 기판 이송 챔버, 기판 이송 챔버에 커플링된 복수의 기판 프로세싱 챔버, 및 기판 이송 챔버에 커플링된 기판 계측 모듈을 포함한다. 기판 프로세싱 방법은 기판 프로세싱 툴의 제1 기판 프로세싱 챔버 내에서 기판을 프로세싱하는 단계, 기판 프로세싱 툴 내에서 기판을 제1 기판 프로세싱 챔버로부터 기판 이송 챔버를 통해서 기판 계측 모듈에 이송하는 단계, 기판 계측 모듈 내에서 기판의 계측을 실시하는 단계, 기판을 기판 이송 챔버를 통해서 기판 계측 모듈로부터 제2 기판 프로세싱 챔버에 이송하는 단계, 및 제2 기판 프로세싱 챔버 내에서 기판을 프로세싱하는 단계를 포함한다.

Description

계측 통합형 기판 프로세싱 툴 및 그 이용 방법
관련 출원에 대한 상호 참조
본 출원은 2018년 3월 20일자로 출원된 미국 가특허출원 일련번호 제62/645,685호에 관한 것으로서 이에 대한 우선권을 주장하며, 그 전체 내용은 본원에 참조로 포함된다.
기술분야
본 발명은 기판 프로세싱에 관한 것으로서, 보다 구체적으로는 통합된 기판 프로세싱 및 기판 계측을 실시하도록 구성된 기판 프로세싱 툴, 및 그 이용 방법에 관한 것이다.
더 소형의 트랜지스터가 제조됨에 따라, 임계 치수(CD) 또는 해상도의 패턴화된 특징부(feature)를 생성하는 것이 점점 더 어려워지고 있다. 자기 정렬 패턴화는, EUV 도입 이후에도 비용 효율적인 비례 축소(scaling)가 계속될 수 있도록, 오버레이 중심의 패턴화를 대체할 필요가 있다. 변동성을 감소시킬 수 있고, 비례 축소를 확장할 수 있고, CD 및 프로세스 제어를 향상시키는 패턴화 옵션이 필요하나, 합리적으로 낮은 가격으로 비례 축소된 소자를 생산하는 것이 매우 어려워지고 있다. 선택적인 침착(deposition)은 진보된 패턴화와 연관된 비용을 상당히 줄일 수 있다. 크게 비례 축소된 기술 노드에서의 패턴화에서, 중요한 단계는, 갭 충진과 같은 얇은 필름의 선택적인 침착, 특정 기판 및 선택적인 하드 마스크 상의 유전체 및 금속의 영역 선택적 침착이다.
본 발명의 실시형태는 통합된 기판 프로세싱 및 기판 계측을 실시하도록 구성된 기판 프로세싱 툴, 및 기판 프로세싱 방법을 설명한다.
일 실시형태에 따라, 기판 프로세싱 툴은 기판 이송 챔버, 기판 이송 챔버에 커플링된 복수의 기판 프로세싱 챔버, 및 기판 이송 챔버에 커플링된 기판 계측 모듈을 포함한다.
일 실시형태에 따라, 기판 프로세싱 방법은 기판 프로세싱 툴의 제1 기판 프로세싱 챔버 내에서 기판을 프로세싱하는 단계, 기판 프로세싱 툴 내에서 기판을 제1 기판 프로세싱 챔버로부터 기판 이송 챔버를 통해서 기판 계측 모듈에 이송하는 단계, 기판 계측 모듈 내에서 기판의 계측을 실시하는 단계, 기판을 기판 이송 챔버를 통해서 기판 계측 모듈로부터 제2 기판 프로세싱 챔버에 이송하는 단계, 및 제2 기판 프로세싱 챔버 내에서 기판을 프로세싱하는 단계를 포함한다.
본 발명의 실시형태 및 그에 수반되는 많은 이점의 보다 완전한 이해는 특히 첨부 도면과 함께 고려되는 이하의 상세한 설명을 참조함으로써 용이하게 이루어질 것이다.
도 1은 본 발명의 실시형태에 따른 통합된 기판 프로세싱 및 기판 계측을 실시하도록 구성된 기판 프로세싱 툴의 개략도이다.
도 2a 내지 도 2e는, 개략적인 횡단면도를 통해서, 본 발명의 실시형태에 따른 영역 선택적 필름 형성의 방법을 도시한다.
도 3은 본 발명의 실시형태에 따른 통합된 기판 프로세싱 및 기판 계측을 실시하기 위한 프로세스 흐름도이다.
도 4는 본 발명의 다른 실시형태에 따른 통합된 기판 프로세싱 및 기판 계측을 실시하기 위한 프로세스 흐름도이다.
본 발명의 실시형태는 통합된 기판 프로세싱 및 기판 계측을 실시하도록 구성된 기판 프로세싱 툴, 및 기판 프로세싱 방법을 설명한다.
본 발명의 실시형태는 통합된 기판 프로세싱 및 통합된 기판 프로세싱 중에 기판 계측을 실시하는 것에 관한 필요성을 해결한다. 일 예에서, 기판 프로세싱 툴에서의 영역 선택적인 필름 침착 중에, 침착 선택비의 손실을 측정하고 특성화하기 위해서 그리고, 기판 계측 데이터를 기초로, 희망하지 않는 필름 핵(nucleus)을 제거하여 선택적인 필름 형성을 달성하기 위해서, 필름 침착 단계 후에 프로세싱 툴 내에서 기판 계측이 실시될 수 있다. 기판 계측 단계로부터의 결과를 이용하여, 필름 침착 단계에서의 변동을 기초로, 필름 핵 제거 단계를 조정할 수 있다. 또한 인공 지능(AI)을 이용하여, 기판 계측 결과를 분석할 수 있고 미래의 필름 두께 및 필름 침착 선택비를 추가적으로 예측할 수 있다.
도 1은 본 발명의 실시형태에 따른 통합된 기판 프로세싱 및 기판 계측을 실시하도록 구성된 기판 프로세싱 툴의 개략도이다. 기판 프로세싱 툴(100)은, 카세트 모듈(101A, 101B, 및 101C), 및 기판 정렬 모듈(101D)을 포함하는 기판(웨이퍼) 이송 시스템(101)을 포함한다. 로드-록 챔버(102A 및 102B), 및 기판 계측 모듈(102C)이 기판 이송 시스템(101)에 커플링된다. 기판 이송 시스템(101)은 대기압에서 유지되나, 불활성 가스를 이용한 퍼지에 의해서 청정 환경이 제공된다. 로드-록 챔버(102A 및 102B)가 기판 이송 챔버(103)에 커플링되고, 기판을 기판 이송 시스템(101)으로부터 기판 이송 챔버(103)로 이송하기 위해서 이용될 수 있다. 기판 이송 챔버(103)는 매우 낮은 기본 압력(예를 들어, 5 x 10-8 Torr, 또는 그 미만)에서 유지될 수 있거나, 불활성 가스로 계속적으로 퍼지될 수 있다.
기판 계측 모듈(102C)은 대기압 하에서 동작될 수 있거나 진공 조건 하에서 동작될 수 있고, 기판 및/또는 기판에 침착된 필름 및 층의 하나 이상의 재료 특성 및 전자적 특성을 측정할 수 있는 하나 이상의 분석 툴을 포함할 수 있다. 하나 이상의 분석 툴의 일부 또는 모든 구성요소가 기판 계측 모듈(102C) 내의 진공 환경 내에 위치될 수 있다. 예를 들어, 광원이 기판 계측 모듈(102C)의 외측에 배치될 수 있고, 광원으로부터의 광이 창을 통해서 기판 계측 모듈(102C) 내로 그리고 기판 상으로 전달될 수 있다. 대안적으로, 광원은 기판 계측 모듈(102C)의 내측에 배치될 수 있다.
예시적인 분석 툴은 재료의 원소 조성, 실험식, 화학적 상태 및 전자 상태를 측정하기 위한 X-레이 광전자 분광 분석기(XPS); 표면, 얇은 필름 및 다수의 층을 특성화하기 위한 X-레이 반사 분석기(XRR); 재료의 원자 분석 및 화학적 분석을 위한 X-레이 형광 분석기(XRF); 재료를 특성화하기 위한 푸리에-변환 적외선 분광 분석기(FTIR); 얇은 필름의 두께 및 광학적 특성을 측정하기 위한 자외선/가시광선(UV/Vis) 분광 분석기; 표면, 얇은 필름 및 다수의 층을 특성화하기 위한 광학적 산란 분석기(scatterometry); 얇은 필름의 조성, 조도, 두께(깊이), 결정질 성질, 도핑 농도, 전기 전도도 및 다른 재료 특성을 특성화하기 위한 타원 편광 분석기; 및 기판 구부러짐 및 휘어짐을 측정하기 위한 분석 툴을 포함할 수 있다.
Si 웨이퍼와 같은 기판을 프로세싱하도록 구성된 기판 프로세싱 챔버(106A 내지 106D)가 기판 이송 챔버(103)에 커플링된다. Si 웨이퍼는, 예를 들어, 150 mm, 200 mm, 300 mm, 450 mm, 또는 450 mm 초과의 직경을 가질 수 있다. 본 발명의 일 실시형태에 따라, 제1 기판 프로세싱 챔버(106A)는 기판에 처리 프로세스를 실시하고, 제2 기판 프로세싱 챔버(106B)는 자기 정렬 단일층(SAM)을 기판에 형성할 수 있다. 제3 기판 프로세싱 챔버(106C)는 기판을 에칭 또는 세정할 수 있고, 제4 기판 프로세싱 챔버(106D)는, 원자 층 증착(ALD), 플라즈마 강화 ALD(PEALD), 화학 기상 증착(CVD), 또는 플라즈마 강화 CVD(PECVD)와 같은 기상 증착에 의해서 기판에 필름을 침착시킬 수 있다. 기판 이송 챔버(103)는 기판 프로세싱 챔버들(106A 내지 106D) 중 임의의 챔버들 사이에서 그리고 기판 계측 모듈(102C) 내로 기판을 이송하도록 구성된다. 도 1은, 인접한 프로세싱 툴 구성요소들 사이에서 격리를 제공하는 게이트 밸브(G1 내지 G9)를 더 도시한다. 도 1의 실시형태에서 도시된 바와 같이, 기판 프로세싱 챔버(106A 내지 106D) 및 기판 계측 모듈(102C)은 게이트 밸브(G5, G7, G8, G9, 및 G10)에 의해서 기판 이송 챔버(103)에 직접적으로 커플링될 수 있다. 이러한 직접적인 커플링은 기판 처리량(substrate throughput)을 크게 개선할 수 있다.
기판 프로세싱 툴(100)은, 통합된 기판 프로세싱 및 기판 계측 중에 도 1에 도시된 툴 구성요소 중 임의의 또는 모든 구성요소에 커플링되어 제어할 수 있는 제어기(110)를 포함한다. 대안적으로 또는 부가적으로, 제어기(110)는 하나 이상의 부가적인 제어기/컴퓨터(미도시)에 커플링될 수 있고, 제어기(110)는 부가적인 제어기/컴퓨터로부터 설정 및/또는 구성 정보를 획득할 수 있다. 제어기(110)를 이용하여 임의의 또는 모든 기판 프로세싱 챔버 및 프로세싱 요소를 구성할 수 있고, 제어기(110)는 임의의 또는 모든 툴 구성요소로부터 데이터를 수집, 제공, 프로세스, 저장, 및 디스플레이할 수 있다. 제어기(110)는 임의의 또는 모든 툴 구성요소를 제어하기 위한 많은 수의 애플리케이션을 포함할 수 있다. 예를 들어, 제어기(110)는, 사용자가 하나 이상의 툴 구성요소를 모니터링 및/또는 제어할 수 있게 하는 사용이 용이한 인터페이스를 제공할 수 있는 그래픽 사용자 인터페이스(GUI)를 포함할 수 있다. 제어기(110)는 마이크로프로세서, 메모리, 및 디지털 I/O 포트를 포함할 수 있고, 디지털 I/O 포트는 기판 프로세싱 툴(100)로부터의 출력을 모니터링하는 것뿐만 아니라, 기판 프로세싱 툴(100)과 통신, 입력 활성화, 및 정보 교환을 하기에 충분한 제어 전압을 생성할 수 있다. 예를 들어, 메모리 내에 저장된 프로그램을 이용하여, 통합된 기판 프로세싱을 실시하기 위해서 프로세스 레시피에 따라 기판 프로세싱 툴(100)의 입력을 활성화시킬 수 있다. 제어기(110)는, 메모리에 포함된 하나 이상의 명령어의 하나 이상의 시퀀스를 실행하는 프로세서에 응답하여 본 발명의 마이크로프로세서 기반의 프로세싱 단계의 일부 또는 전부를 실시하는 범용 컴퓨터 시스템으로서 구현될 수 있다. 그러한 명령어는, 하드 디스크 또는 분리 가능한 매체 드라이브와 같은, 다른 컴퓨터 판독 가능 매체로부터 제어기 메모리 내로 판독될 수 있다. 다수-프로세싱 기기 내의 하나 이상의 프로세서가 또한, 주 메모리에 포함된 명령어의 시퀀스를 실행하기 위한 제어기 마이크로프로세서로서 이용될 수 있다. 대안적인 실시형태에서, 고정-배선형(hard-wired) 회로소자가 소프트웨어 명령어 대신에 또는 그와 조합되어 이용될 수 있다. 그에 따라, 실시형태는 하드웨어 회로소자 및/또는 소프트웨어의 임의의 특정 조합으로 제한되지 않는다.
제어기(110)는 기판 프로세싱 툴(100)에 대해서 인접하여(locally) 위치될 수 있거나, 기판 프로세싱 툴(100)에 대해서 원거리에 위치될 수 있다. 예를 들어, 제어기(110)는, 직접적인 연결, 인트라넷, 인터넷 및 무선 연결 중 적어도 하나를 이용하여 기판 프로세싱 툴(100)과 데이터를 교환할 수 있다. 제어기(110)는, 예를 들어, 고객측(예를 들어, 소자 제조자 등)의 인트라넷에 커플링될 수 있거나, 예를 들어 공급자측(예를 들어, 장비 제조자)의 인트라넷에 커플링될 수 있다. 또한, 예를 들어, 제어기(110)는 인터넷에 커플링될 수 있다. 또한, 직접적인 연결, 인트라넷, 및 인터넷 중 적어도 하나를 통해서 데이터를 교환하기 위해서, 다른 컴퓨터(즉, 제어기, 서버 등)가, 예를 들어, 제어기(110)에 접속할 수 있다. 또한 당업자가 이해할 수 있는 바와 같이, 제어기(110)는 무선 연결을 통해서 기판 프로세싱 툴(100)과 데이터를 교환할 수 있다.
기판 프로세싱 예
이제 도 1, 도 2a 내지 도 2e, 및 도 3을 참조하면, 일 실시형태에 따라, 기판 프로세싱 툴(100)은 기판에서 영역 선택적인 침착을 하는 방법을 실시하고 모니터링하도록 구성될 수 있다. 이러한 실시형태에서, 기판(200)은 기부 층(202), 제1 재료 층(204)의 노출된 표면, 및 제2 재료 층(206)의 노출된 표면을 포함한다. 일 예에서, 기판(200)은 유전체 층(204) 및 금속 층(206)을 포함한다. 예를 들어, 금속 층(206)은 Cu, Al, Ta, Ti, W, Ru, Co, Ni, 또는 Mo를 포함할 수 있다. 유전체 층(204)은, 예를 들어, SiO2, 저-k 유전체 재료, 또는 고-k 유전체 재료를 포함할 수 있다. 저-k 유전체 재료는, 약 4인 SiO2의 유전 상수보다 작은 공칭 유전 상수를 갖는다(예를 들어, 열적으로 성장된 이산화규소의 유전 상수는 3.8 내지 3.9의 범위일 수 있다). 고-k 재료는 SiO2의 유전 상수보다 큰 공칭 유전 상수를 갖는다.
저-k 유전체 재료는 3.7 미만의 유전 상수, 또는 1.6 내지 3.7의 범위의 유전 상수를 가질 수 있다. 저-k 유전체 재료는 플루오르화 규소 유리(FSG), 탄소 도핑된 산화물, 중합체, SiCOH-함유 저-k 재료, 비-다공성 저-k 재료, 다공성 저-k 재료, 스핀-온 유전체(SOD) 저-k 재료, 또는 임의의 다른 적합한 유전체 재료를 포함할 수 있다. 저-k 유전체 재료는 Applied Materials, Inc.로부터 상업적으로 입수할 수 있는 BLACK DIAMOND®(BD) 또는 BLACK DIAMOND® II(BDII) SiCOH 재료, 또는 Novellus Systems, Inc.으로부터 상업적으로 입수할 수 있는 Coral® CVD 필름을 포함할 수 있다. 다른 상업적으로 입수할 수 있는 탄소-함유 재료는 Dow Chemical로부터 입수할 수 있는 SILK®(예를 들어, SiLK-I, SiLK-J, SiLK-H, SiLK-D, 및 다공성 SiLK 반도체 유전체 수지) 및 CYCLOTENE®(벤조시클로부텐), 및 Honeywell로부터 입수할 수 있는 GX-3TM, 및 GX-3PTM 반도체 유전체 수지를 포함한다.
저-k 유전체 재료는, 경화 또는 침착 프로세스 중에 필름의 완전한 조밀화를 방해하여 작은 공극(또는 소공)을 생성하는 CH3 결합을 가지는 규소 산화물-계 매트릭스와 같은, 단일-상을 포함하는 다공성 무기질-유기질 복합 필름을 포함한다. 또한 대안적으로, 이러한 유전체 층은, 경화 프로세스 중에 분해되고 증발되는 유기 재료(예를 들어, 포로겐(porogen))의 소공을 가지는 탄소-도핑된 규소 산화물-계 매트릭스와 같은, 적어도 2개의 상을 포함하는 다공성 무기질-유기질 복합 필름을 포함할 수 있다.
또한, 저-k 재료는, SOD 기술을 이용하여 침착된, 수소 실세스퀴옥산(HSQ) 또는 메틸 실세스퀴옥산(MSQ)과 같은, 실리케이트-계 재료를 포함한다. 그러한 필름의 예에는 Dow Corning으로부터 상업적으로 입수할 수 있는 FOx® HSQ, Dow Corning으로터 상업적으로 입수할 수 있는 XLK 다공성 HSQ, 및 JSR Microelectronics로부터 상업적으로 입수할 수 있는 JSR LKD-5109가 포함된다.
방법은, 프로세스 흐름(300)의 단계(302)에서, 기판(200)을 기판 이송 시스템(101)에 제공하고, 그 후에, 기판(200)을 기판 이송 챔버(103) 내로 이송하는 것을 더 포함한다.
그 후에, 단계(304)에서, 기판(200)은 선택적으로 기판 계측 모듈(102C) 내로 이송되고, 기판 계측 모듈(102C)에서 기판(200)이 측정되고 특성화된다.
단계(306)에서, 기판(200)은 선택적으로, 처리 가스를 이용한 처리를 위해서, 제1 기판 프로세싱 챔버(106A) 내로 이송된다. 예를 들어, 처리 가스는 산화 가스 또는 환원 가스를 포함할 수 있다. 일부 예에서, 산화 가스는 O2, H2O, H2O2, 이소프로필 알코올, 또는 그 조합을 포함할 수 있고, 환원 가스는 H2 가스를 포함할 수 있다. 산화 가스를 이용하여 제1 재료 층(204) 또는 제2 재료 층(206)의 표면을 산화하여 후속되는 영역 선택적 침착을 개선할 수 있다. 일 예에서, 처리 가스는 플라즈마-여기된 Ar 가스를 포함할 수 있거나, 그러한 가스로 구성될 수 있다.
단계(308)에서, 기판(200)은 선택적으로 기판 계측 모듈(102C) 내로 이송되고, 그러한 기판 계측 모듈(102C)에서 단계(306)에서의 기판(200)의 처리가 측정되고 특성화된다.
그 후에, 기판은 제2 기판 프로세싱 챔버(106B) 내로 이송되고, 그곳에서, 단계(310)에서, 자기 정렬 단일층(SAM)이 기판(200)에 형성된다. SAM을 기판(200)에 형성할 수 있는 분자를 포함하는 반응 가스에 대한 노출에 의해서, SAM이 기판(200)에 형성될 수 있다. SAM은, 흡수에 의해서 기판 표면에서 자발적으로 형성되고 크거나 작은 정렬된 도메인(ordered domain)으로 조직화되는, 분자 집합체이다. SAM은, 헤드 그룹, 테일 그룹, 및 기능적 단부 그룹을 가지는 분자를 포함할 수 있고, SAM은 상온 또는 상온 이상에서 증기 상으로부터 기판(200)으로의 헤드 그룹의 화학흡착에 의해서, 그리고 그 후의 테일 그룹의 느린 조직화에 의해서 생성된다. 초기에, 표면 위의 낮은 분자 밀도에서, 흡착물 분자가 분자의 불규칙적인 덩어리를 형성하거나 규칙적인 2-차원적인 "평행에 가깝게 누운 형태의 상(lying down phase)"을 형성하고, 더 큰 분자 커버리지가, 수 분 내지 수 시간의 기간에 걸쳐, 3-차원적인 결정질 또는 반-결정질 구조물(semicrystalline structure)을 기판 표면에 형성하기 시작한다. 헤드 그룹이 기판에서 함께 합쳐지는 반면, 테일 그룹은 기판으로부터 먼 곳에서 합쳐진다.
일 실시형태에 따라, SAM을 형성하는 분자의 헤드 그룹은 티올, 실란 또는 포스포네이트를 포함할 수 있다. 실란의 예는, C, H, Cl, F, 및 Si 원자, 또는 C, H, Cl, 및 Si 원자를 포함하는 분자를 포함한다. 그러한 분자의 비제한적인 예는 퍼플루오로데실트리클로로실란(CF3(CF2)7CH2CH2SiCl3), 퍼플루오로데칸 티올(CF3(CF2)7CH2CH2SH), 클로로데실디메틸실란(CH3(CH2)8CH2Si(CH3)2Cl), 및 삼차부틸(클로로)디메틸실란((CH3)3CSi(CH3)2Cl))을 포함한다.
기판(200) 위의 SAM의 존재는, 제2 재료 층(206)(예를 들어, 금속 층)에 비해 제1 재료 층(204)(예를 들어, 유전체 층) 위에 후속적인 선택적 필름 침착을 가능하게 하기 위해서 이용될 수 있다. 이러한 선택적인 침착 거동은 예상치 못한 것이고, 제2 재료 층(206) 위의 금속 산화물 침착을 감소시키거나 방지하면서, 필름을 제1 재료 층(204) 위에 선택적으로 침착시키기 위한 새로운 방법을 제공한다. 가능하게 제1 재료 층(204)에서보다 제2 재료 층(204)에서 더 높은 분자의 초기 규칙성(ordering)으로 인해서, SAM 밀도가, 제1 재료 층(204)에 비해서, 제2 재료 층(206)에서 더 높은 것으로 생각된다. 이러한 제2 재료 층(206) 위의 더 높은 SAM 밀도가 도 2b에서 SAM(208)으로 개략적으로 도시되어 있다.
기판(200) 위의 SAM(208)의 형성 이후에, 단계(312)에서, 기판(200)은 선택적으로 기판 계측 모듈(102C) 내로 이송되고, 그 곳에서 기판(200) 위의 SAM(208)의 형성이 측정되고 특성화된다.
그 후에, 기판(200)은 제4 기판 프로세싱 챔버(106D) 내로 이송되고, 그 곳에서, 단계(314)에서, 기판(200)을 하나 이상의 침착 가스에 노출시키는 것에 의해서, 필름(210)(예를 들어, 금속 산화물 필름)이, SAM(208)을 포함하는 제2 재료 층(206)에 상대적으로, 제1 재료 층(204)에 선택적으로 침착된다. 일 예에서, 필름(210)은, HfO2, ZrO2, 또는 Al2O3를 포함하는 금속 산화물 필름을 포함할 수 있다. 필름(210)은, 예를 들어, CVD, 플라즈마 강화 CVD PEALD), ALD 또는 플라즈마 강화 ALD(PEALD)에 의해서 침착될 수 있다. 일부 예에서, 필름(210)은 금속-함유 전구체 및 산화제(예를 들어, H2O, H2O2, 플라즈마-여기된 O2, 또는 O3)의 교번적인 노출을 이용하는 ALD에 의해서 침착될 수 있다.
도 2c에 도시된 바와 같이, 제3 기판 프로세싱 챔버(106C) 내의 하나 이상의 침착 가스에 대한 노출은, 필름(210)을 제1 재료 층(204)에 침착시키는 것에 더하여, 필름 핵(210')을 SAM(208)에 침착시킬 수 있다. 이러한 침착 선택비의 손실은, 침착 프로세스가 너무 오랫동안 실시되는 경우 또는 제1 재료 층(204)과 SAM(208) 사이의 침착 선택비가 양호하지 못한 경우에 발생될 수 있다. 양호하지 못한 침착 선택비는 또한, SAM(208)의 표면 커버리지가 불완전하고 제2 재료 층(206)에서 공극을 포함하는 경우에 발생될 수 있다.
기판(200) 위의 필름(210)의 침착 이후에, 단계(316)에서, 기판(200)은 기판 계측 모듈(102C) 내로 이송되고, 그 곳에서 필름(210)의 침착이 측정되고 특성화된다. 특성화는 침착 선택비의 정도 및 SAM(208)으로부터의 필름 핵(210')의 제거 필요성을 결정할 수 있다.
제1 재료 층(204) 위에 필름(210)을 선택적으로 형성하기 위해서, SAM(208) 위의 필름 핵(210')이 에칭 프로세스를 이용하여 제거될 수 있다. 기판(200)은 단계(318)에서 에칭 프로세스를 실시하기 위해서 제3 기판 프로세싱 챔버(106C) 내로 이송된다. 비록 필름(210)이 또한 에칭 프로세스에 의해서 부분적으로 제거될 수 있지만, 금속 산화물 핵(210')은 필름(210)보다 빨리 에칭될 것으로 예상된다. 에칭 프로세스는 건식 에칭 프로세스, 습식 에칭 프로세스, 또는 그 조합을 포함할 수 있다. 일 예에서, 에칭 프로세스는 원자 층 에칭(ALE) 프로세스를 포함할 수 있다. 도 2d에 도시된 결과적인 기판(200)은, 제1 재료 층(204)에 선택적으로 형성된 필름(210)을 갖는다.
에칭 프로세스 후에, 단계(320)에서, 기판(200)은 선택적으로 기판 계측 모듈(102C) 내로 이송되고, 그 곳에서 기판(200)이 측정되고 특성화된다. 특성화는 에칭 프로세스의 정도를 결정할 수 있다.
그 후에, 단계(322)에서, 예를 들어 제3 기판 프로세싱 챔버(106C) 내의 에칭 또는 세정에 의해서 또는 제1 기판 프로세싱 챔버(106A) 내의 열처리에 의해서, SAM(208)이 기판(200)으로부터 제거될 수 있다.
프로세스 화살표(324)에 의해서 개략적으로 도시된 바와 같이, 전술한 기판 프로세싱 단계(304 내지 322)를 한 차례 이상 반복하여, 기판(200)의 필름(210)의 두께를 증가시킬 수 있다. 기판(200) 위의 SAM(208)의 제거 및 후속하는 반복된 침착은, SAM(208)이 필름 침착 및/또는 에칭 프로세스 중에 손상되고 그에 따라 필름 침착 선택비에 영향을 미치는 경우에, 바람직할 수 있다.
도 4는 본 발명의 실시형태에 따른 통합된 기판 프로세싱 및 기판 계측을 실시하기 위한 프로세스 흐름도이다. 또한 도 1 및 도 2a 내지 도 2e를 참조하면, 도 4의 프로세스 흐름도(400)는 도 3의 프로세스 흐름도(300)와 유사하고, 단계(402)에서, 기판(200)을 기판 프로세싱 툴(100) 내에 제공하는 것을 포함하고, 이때 기판(200)은 제1 재료 층(204)의 노출된 표면 및 제2 재료 층(206)의 노출된 표면을 포함한다. 일 예에서, 제1 재료 층(204)은 유전체 층을 포함하고, 제2 재료 층(206)은 금속 층을 포함한다. 방법은 또한, 단계(404)에서, 선택적으로 기판 계측을 실시하는 것, 단계(406)에서, 선택적으로 기판(200)을 처리 가스로 처리하는 것, 그리고 단계(408)에서, 선택적으로 기판 계측을 실시하는 것을 포함한다.
방법은 또한, 단계(410)에서, SAM(208)을 기판(200) 위에 형성하는 것, 그리고 단계(412)에서, 선택적으로 기판 계측을 실시하는 것을 포함한다. 방법은 또한, 단계(414)에서, 필름(210)을 제1 재료 층(204)에 그리고 필름 핵(210')을 SAM(208)에 침착시키는 것, 그리고 단계(416)에서 기판 계측을 실시하는 것을 포함한다. 방법은 또한, 단계(418)에서, 필름 핵(210')을 SAM(208)으로부터 제거하는 것, 그리고 단계(420)에서, 선택적으로 기판 계측을 실시하는 것을 포함한다. 방법은 또한, 단계(422)에서, 선택적으로 기판(200)을 처리 가스로 처리하는 것을 포함한다. 프로세스 화살표(424)에 의해서 개략적으로 도시된 바와 같이, 전술한 기판 프로세싱 단계(412 내지 422)를 한 차례 이상 반복하여, 기판(200) 위의 필름(210)의 두께를 증가시킬 수 있다.
통합된 기판 프로세싱 및 기판 계측을 실시하도록 구성된 기판 프로세싱 툴, 및 영역 선택적인 침착 방법이 여러 실시형태들에서 개시되어 있다. 본 발명의 실시형태의 전술한 설명은 예시 및 설명의 목적을 위해 제시되었다. 개시된 정확한 형태로 본 발명을 한정하거나 총망라하려고 의도되지 않는다. 이러한 설명 및 이하의 청구범위는, 설명을 위한 목적으로만 사용되며 한정적인 것으로 해석되어서는 안되는 용어를 포함한다. 관련 기술 분야의 당업자라면 위와 같은 교시를 고려하여 다수의 변형 및 변경이 가능하다는 것을 이해할 수 있다. 당업자는 도면에 도시된 다양한 구성 요소에 대한 다양한 동등한 조합 및 대체를 인식할 것이다. 따라서, 본 발명의 범위는 이러한 상세한 설명에 의해서 한정되는 것이 아니라, 오히려 본원에 첨부된 청구범위에 의해 한정되는 것으로 의도된다.

Claims (20)

  1. 기판 이송 챔버;
    상기 기판 이송 챔버에 커플링된 복수의 기판 프로세싱 챔버; 및
    상기 기판 이송 챔버에 커플링된 기판 계측 모듈을 포함하는, 기판 프로세싱 툴.
  2. 제1항에 있어서,
    상기 기판 계측 모듈은, 기판의 또는 기판 위에 형성된 얇은 필름 및 층의 하나 이상의 재료 특성을 측정하는 하나 이상의 분석 툴을 포함하는, 기판 프로세싱 툴.
  3. 제1항에 있어서,
    상기 기판 이송 챔버는 기판 이송 로봇을 포함하는, 기판 프로세싱 툴.
  4. 제1항에 있어서,
    상기 복수의 기판 프로세싱 챔버는,
    자기 조립 단일층(SAM) 프로세스를 실시하도록 구성된 제1 기판 프로세싱 챔버;
    필름 침착 프로세스를 실시하도록 구성된 제2 기판 프로세싱 챔버; 및
    에칭 프로세스를 실시하도록 구성된 제3 기판 프로세싱 챔버를 포함하는, 기판 프로세싱 툴.
  5. 제4항에 있어서,
    상기 제2 기판 프로세싱 챔버는, 원자 층 증착(ALD), 플라즈마 강화 ALD(PEALD), 화학 기상 증착(CVD), 또는 플라즈마 강화 CVD(PECVD)에 의해서, 필름 침착 프로세스를 실시하도록 구성되는, 기판 프로세싱 툴.
  6. 제4항에 있어서,
    반응성 처리 가스, 열처리, 또는 그 조합을 이용하여 처리 프로세스를 실시하도록 구성된 제4 기판 프로세싱 챔버를 더 포함하는, 기판 프로세싱 툴.
  7. 제1항에 있어서,
    상기 기판 계측 모듈은 게이트 밸브에 의해서 상기 기판 이송 챔버에 직접적으로 커플링되는, 기판 프로세싱 툴.
  8. 기판을 기판 프로세싱 툴의 제1 기판 프로세싱 챔버 내에서 프로세싱하는 단계;
    상기 기판 프로세싱 툴 내에서, 상기 기판을 상기 제1 기판 프로세싱 챔버로부터 기판 이송 챔버를 통해서 기판 계측 모듈에 이송하는 단계;
    상기 기판 계측 모듈에서 상기 기판의 계측을 실시하는 단계;
    상기 기판을 상기 기판 이송 챔버를 통해서 상기 기판 계측 모듈로부터 제2 기판 프로세싱 챔버에 이송하는 단계; 및
    상기 기판을 상기 제2 기판 프로세싱 챔버 내에서 프로세싱하는 단계를 포함하는, 기판 프로세싱 방법.
  9. 제8항에 있어서,
    상기 기판 계측 모듈은 게이트 밸브에 의해서 상기 기판 이송 챔버에 직접적으로 커플링되는, 기판 프로세싱 방법.
  10. 제8항에 있어서,
    상기 제1 기판 프로세싱 챔버는 필름 침착 프로세스를 실시하도록 구성되고, 상기 제2 기판 프로세싱 챔버는 에칭 프로세스를 실시하도록 구성되는, 기판 프로세싱 방법.
  11. 제8항에 있어서,
    상기 기판 계측 모듈은, 기판의 또는 상기 기판 위에 형성된 얇은 필름 및 층의 하나 이상의 재료 특성을 측정하는 하나 이상의 분석 툴을 포함하는, 기판 프로세싱 방법.
  12. 기판을 기판 프로세싱 툴 내에 제공하는 단계로서, 상기 기판은 제1 재료 층의 노출된 표면 및 제2 재료 층의 노출된 표면을 포함하는, 단계;
    제1 기판 프로세싱 챔버 내에서 자기 조립 단일층(SAM)을 상기 기판에 형성하는 단계;
    상기 기판을 상기 제1 기판 프로세싱 챔버로부터 기판 이송 챔버를 통해서 제2 기판 프로세싱 챔버에 이송하는 단계; 및
    상기 제2 기판 프로세싱 챔버 내에서, 필름을 상기 제1 재료 층에 그리고 필름 핵을 상기 자기 조립 단일층에 침착시키는 단계;
    상기 기판을 상기 제2 기판 프로세싱 챔버로부터 상기 기판 이송 챔버를 통해서 기판 계측 모듈에 이송하는 단계;
    상기 기판 계측 모듈에서 상기 필름의 계측을 실시하는 단계;
    상기 기판을 상기 기판 계측 모듈로부터 상기 기판 이송 챔버를 통해서 제3 기판 프로세싱 챔버에 이송하는 단계; 및
    상기 제3 기판 프로세싱 챔버 내에서, 에칭에 의해서 필름 핵을 상기 자기 조립 단일층으로부터 제거하는 단계를 포함하는, 기판 프로세싱 방법.
  13. 제12항에 있어서,
    상기 기판 계측 모듈은 게이트 밸브에 의해서 상기 기판 이송 챔버에 직접적으로 커플링되는, 기판 프로세싱 방법.
  14. 제12항에 있어서,
    반응성 처리 가스, 열처리, 또는 그 조합을 이용하여 제4 기판 프로세싱 챔버 내에서 상기 기판에 처리 프로세스를 실시하는 단계를 더 포함하는, 기판 프로세싱 방법.
  15. 제12항에 있어서,
    상기 제1 재료 층이 유전체 층을 포함하는, 기판 프로세싱 방법.
  16. 제12항에 있어서,
    상기 제2 재료 층이 금속 층을 포함하는, 기판 프로세싱 방법.
  17. 제16항에 있어서,
    상기 금속 층은 Cu, Al, Ta, Ti, W, Ru, Co, Ni, 또는 Mo를 포함하는, 기판 프로세싱 방법.
  18. 제12항에 있어서,
    상기 필름이 금속 산화물을 포함하는, 기판 프로세싱 방법.
  19. 제12항에 있어서,
    상기 SAM의 밀도가, 상기 제1 재료 층에서보다, 상기 제2 재료 층에서 더 높은, 기판 프로세싱 방법.
  20. 제12항에 있어서,
    상기 SAM은, 헤드 그룹, 테일 그룹, 및 기능적 단부 그룹을 포함하는 복수의 분자를 포함하고, 상기 헤드 그룹은 티올, 실란 또는 포스포네이트를 포함하는, 기판 프로세싱 방법.
KR1020207029987A 2018-03-20 2019-03-15 계측 통합형 기판 프로세싱 툴 및 그 이용 방법 KR102655137B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862645685P 2018-03-20 2018-03-20
US62/645,685 2018-03-20
PCT/US2019/022617 WO2019182916A1 (en) 2018-03-20 2019-03-15 Substrate processing tool with integrated metrology and method of using

Publications (2)

Publication Number Publication Date
KR20200124314A true KR20200124314A (ko) 2020-11-02
KR102655137B1 KR102655137B1 (ko) 2024-04-04

Family

ID=67984323

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207029987A KR102655137B1 (ko) 2018-03-20 2019-03-15 계측 통합형 기판 프로세싱 툴 및 그 이용 방법

Country Status (6)

Country Link
US (2) US11264254B2 (ko)
JP (1) JP7295359B2 (ko)
KR (1) KR102655137B1 (ko)
CN (1) CN112074939A (ko)
TW (1) TW201941346A (ko)
WO (1) WO2019182916A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3540767A1 (en) * 2018-03-16 2019-09-18 ASML Netherlands B.V. Inspection system, lithographic apparatus, and inspection method
US11319449B2 (en) * 2019-12-20 2022-05-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Area selective deposition of metal containing films
WO2021138132A1 (en) * 2019-12-30 2021-07-08 Tokyo Electron Limited High-throughput multi-stage manufacturing platform and method for processing a plurality of substrates
JP7353200B2 (ja) * 2020-02-06 2023-09-29 東京エレクトロン株式会社 成膜方法
JP2022091523A (ja) * 2020-12-09 2022-06-21 東京エレクトロン株式会社 成膜方法
JP2022129872A (ja) * 2021-02-25 2022-09-06 株式会社Screenホールディングス 基板処理方法および基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007088401A (ja) * 2005-08-25 2007-04-05 Tokyo Electron Ltd 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
JP2010169667A (ja) * 2008-12-26 2010-08-05 Tokyo Electron Ltd 金属膜の膜厚測定方法及び基板処理方法及び装置
US20120195717A1 (en) * 2010-12-22 2012-08-02 Brooks Automation, Inc. Workpiece handling modules
US20160293467A1 (en) * 2013-10-18 2016-10-06 Brooks Automation, Inc. Processing apparatus

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3965343B2 (ja) 1994-08-19 2007-08-29 東京エレクトロン株式会社 処理装置
US6203582B1 (en) 1996-07-15 2001-03-20 Semitool, Inc. Modular semiconductor workpiece processing tool
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6911400B2 (en) * 2002-11-05 2005-06-28 International Business Machines Corporation Nonlithographic method to produce self-aligned mask, articles produced by same and compositions for same
US20040126482A1 (en) 2002-12-31 2004-07-01 Chih-I Wu Method and structure for selective surface passivation
US20070264106A1 (en) * 2003-11-10 2007-11-15 Van Der Meulen Peter Robotic components for semiconductor manufacturing
US20070134821A1 (en) 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US7601272B2 (en) * 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7566900B2 (en) * 2005-08-31 2009-07-28 Applied Materials, Inc. Integrated metrology tools for monitoring and controlling large area substrate processing chambers
JP2009543355A (ja) 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
US20080276867A1 (en) * 2007-05-09 2008-11-13 Jason Schaller Transfer chamber with vacuum extension for shutter disks
JP2009064726A (ja) * 2007-09-07 2009-03-26 Tokyo Electron Ltd 基板検査装置及び基板検査方法並びに記憶媒体
CN101911253B (zh) 2008-01-31 2012-08-22 应用材料公司 闭环mocvd沉积控制
US7919335B2 (en) 2009-04-20 2011-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Formation of shallow trench isolation using chemical vapor etch
US8954184B2 (en) 2011-01-19 2015-02-10 Tokyo Electron Limited Tool performance by linking spectroscopic information with tool operational parameters and material measurement information
US20130129922A1 (en) * 2011-11-21 2013-05-23 Qualcomm Mems Technologies, Inc. Batch processing for electromechanical systems and equipment for same
KR102151616B1 (ko) 2014-02-04 2020-09-03 어플라이드 머티어리얼스, 인코포레이티드 인-라인 증착 시스템 및 유기 재료를 위한 증발 소스를 작동시키기 위한 방법
US9419107B2 (en) * 2014-06-19 2016-08-16 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10020204B2 (en) * 2016-03-10 2018-07-10 Applied Materials, Inc. Bottom processing
KR102312824B1 (ko) 2016-03-17 2021-10-13 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
KR102463922B1 (ko) 2016-03-21 2022-11-08 에스케이하이닉스 주식회사 미세 패턴 형성 방법
US11081342B2 (en) * 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US10068764B2 (en) * 2016-09-13 2018-09-04 Tokyo Electron Limited Selective metal oxide deposition using a self-assembled monolayer surface pretreatment

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
JP2007088401A (ja) * 2005-08-25 2007-04-05 Tokyo Electron Ltd 基板処理装置,基板処理方法,プログラム,プログラムを記録した記録媒体
JP2010169667A (ja) * 2008-12-26 2010-08-05 Tokyo Electron Ltd 金属膜の膜厚測定方法及び基板処理方法及び装置
US20120195717A1 (en) * 2010-12-22 2012-08-02 Brooks Automation, Inc. Workpiece handling modules
US20160293467A1 (en) * 2013-10-18 2016-10-06 Brooks Automation, Inc. Processing apparatus

Also Published As

Publication number Publication date
US20190295870A1 (en) 2019-09-26
JP7295359B2 (ja) 2023-06-21
US11264254B2 (en) 2022-03-01
US20220181176A1 (en) 2022-06-09
US11769677B2 (en) 2023-09-26
CN112074939A (zh) 2020-12-11
TW201941346A (zh) 2019-10-16
JP2021518673A (ja) 2021-08-02
KR102655137B1 (ko) 2024-04-04
WO2019182916A1 (en) 2019-09-26

Similar Documents

Publication Publication Date Title
KR102655137B1 (ko) 계측 통합형 기판 프로세싱 툴 및 그 이용 방법
JP6742720B2 (ja) 酸化物層のエッチング方法及びエッチング装置
JP6516797B2 (ja) 周期的処理を使用した選択的膜堆積のための方法及び装置
JP7190814B2 (ja) エアギャップの形成方法
CN105719952B (zh) 用于电介质蚀刻应用的集成蚀刻/清洁
US8962078B2 (en) Method for depositing dielectric films
US11302588B2 (en) Platform and method of operating for integrated end-to-end area-selective deposition process
TW201635383A (zh) 使用一氧化氮活化之矽氧化物的同向性原子層蝕刻
US10784175B2 (en) Platform and method of operating for integrated end-to-end gate contact process
KR100870997B1 (ko) 저 유전율막의 데미지 수복 방법, 반도체 제조 장치, 및기억 매체
TWI746980B (zh) 原子層自對準的基板處理及整合式工具集
TWI767964B (zh) 後段介電質蝕刻用之選擇性沉積方法
TW202139325A (zh) 用於處理複數基板的高產量多級式製造平台及方法
Finstad et al. Gas phase preparation and analysis of semiconductor surfaces in a clustered reactor apparatus

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant