JP2021504939A - 窒化ケイ素膜のドライエッチング速度の低減 - Google Patents

窒化ケイ素膜のドライエッチング速度の低減 Download PDF

Info

Publication number
JP2021504939A
JP2021504939A JP2020526539A JP2020526539A JP2021504939A JP 2021504939 A JP2021504939 A JP 2021504939A JP 2020526539 A JP2020526539 A JP 2020526539A JP 2020526539 A JP2020526539 A JP 2020526539A JP 2021504939 A JP2021504939 A JP 2021504939A
Authority
JP
Japan
Prior art keywords
nitrogen
containing gas
gas
sccm
silicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020526539A
Other languages
English (en)
Other versions
JP7299887B2 (ja
Inventor
マイケル ウェンヤン ツィアン,
マイケル ウェンヤン ツィアン,
ハン ユイ,
ハン ユイ,
ディーネッシュ パディ,
ディーネッシュ パディ,
ツァ−ジン グン,
ツァ−ジン グン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021504939A publication Critical patent/JP2021504939A/ja
Application granted granted Critical
Publication of JP7299887B2 publication Critical patent/JP7299887B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analytical Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本書に記載の実施形態は、窒化ケイ素膜を形成する方法に関する。一実施形態では、ケイ素含有ガス及び第1の窒素含有ガスを含む第1の処理ガスセットが処理チャンバ内に流される。第1の処理ガスセットに第1の周波数と第1の電力レベルの第1の高周波電力を印加することによって開始層が堆積される。第1の処理ガスセットの第1の窒素含有ガスの第1の流れが中断され、ケイ素含有ガス、第2の窒素含有ガス、及び水素含有ガスを含む第2の処理ガスセットが処理チャンバ内に流される。バルク窒化ケイ素層は、第2の処理ガスセットに第1の周波数よりも高い第2の周波数と第1の電力レベルよりも高い第2の電力レベルの第2のRF電力を印加することによって開始層上に堆積される。【選択図】図2

Description

[0001]本開示の実施形態は、概して、窒化ケイ素ハードマスクを形成することに関する。より具体的には、本開示の実施形態は、プラズマ化学気相堆積(PECVD)処理を使用して窒化ケイ素ハードマスクを形成する方法に関する。
[0002]半導体デバイス処理は、電気デバイスに存在する集積回路を作製するために使用される。集積回路の製造では、堆積処理を使用して、半導体基板上にさまざまな材料の層を堆積させる。基板上に特徴を形成するために、エッチング処理が使用され、基板の一部及び/又は基板上に堆積された誘電体層の一部が除去される。
[0003]ハードマスクは、従来のフォトレジストでは耐えられない、高解像度で深く、高アスペクト比の特徴をエッチングするために使用される。エッチングの前に、基板及び/又は堆積された誘電体層の上にハードマスクが堆積される。ハードマスクは、エッチングされる下層が基板又は堆積された誘電体層をパターン化するために使用されるフォトレジストと同様のエッチング速度を有する場合、バリア層として使用される。ハードマスクは、除去されない下層の部分を保護するために、エッチングされる下層とは異なる特性を有する。パターンは、標準のフォトリソグラフィ技法を使用して、窒化ケイ素ハードマスクに画定される。次に、ハードマスクをプラズマエッチング、ガスエッチング、物理ドライエッチング、又は化学ドライエッチングでエッチングして、特徴となる領域を露光し、窒化ケイ素にパターンが画定される。集積回路の最小特徴サイズが縮小するにつれ、滑らかな表面と側壁を有する特徴を持つ集積回路を提供するには、侵食やパターンの変化に耐性のある、ハードマスクとして使用するための膜を形成する改善された処理が必要になる。したがって、高い選択性、低いエッチング速度、低いボウイングデルタ(bowing delta)を有するハードマスクが必要である。
[0004]窒化ケイ素ハードマスクの選択性、エッチング速度、及びボウイングデルタは、密度と屈折率に基づいて最適化される。更に、高い圧縮応力を持つ窒化ケイ素ハードマスクは密度が高く窒素に富んでおり、現在のプラズマ化学気相堆積(PECVD)処理では、高密度で窒素の豊富なハードマスクとして使用される窒化ケイ素膜を形成できない。堆積速度が高いために、現在のPECVD処理では、原子層堆積膜(ALD)から形成された窒化ケイ素ハードマスクよりも大幅にエッチング速度が高く、選択性が低く、ボウイングデルタの高い窒化ケイ素ハードマスクが形成される。しかしながら、ALDから形成された窒化ケイ素膜は、PECVDから形成された膜よりもコストが高く、スループットが低い。したがって、高い密度及び屈折率を持つ窒化ケイ素膜を形成する改善された処理が必要である。
[0005]一実施形態では、窒化ケイ素膜を形成する方法が提供される。本方法は、表面を含む基板をチャンバ内に配置することと、ケイ素含有ガス及び第1の窒素含有ガスを第1の総流量でチャンバ内に流すことと、ケイ素含有ガス及び第1の窒素含有ガスに第1の電力レベルの第1の高周波(RF)電力を印加することによって、基板の表面上にケイ素及び窒素含有層を堆積させることと、ケイ素含有ガス及び第1の窒素含有ガスの流れを中断して第2の窒素含有ガスをチャンバ内に流すことと、第2の窒素含有ガスに第1の電力レベルよりも高い第2の電力レベルの第2のRF電力を印加することによって、ケイ素及び窒素含有層を処理することとを含む。第2の窒素含有ガスの流量は第1の総流量よりも高い。所定の厚さの膜が形成されるまで、ケイ素含有ガス及び第1の窒素含有ガスを流すことと、ケイ素及び窒素含有層を堆積させることと、ケイ素含有ガス及び第1の窒素含有ガスの流れを中断して第2の窒素含有ガスを流すことと、ケイ素及び窒素含有層を処理することとが繰り返される。
[0006]別の実施形態では、窒化ケイ素膜を形成する方法が提供される。本方法は、表面を含む基板をチャンバ内に配置することと、ケイ素含有ガス及び第1の窒素含有ガスを含む第1の処理ガスセットをチャンバ内に流すことと、第1の処理ガスセットに第2の周波数と第3の電力レベルの第3の高周波(RF)電力を印加することによって基板の表面上に開始層を堆積させることと、第1の処理ガスセットの第1の窒素含有ガスの流れを中断して、ケイ素含有ガス、第2の窒素含有ガス、及び水素含有ガスを含む第2の処理ガスセットをチャンバ内に流すことと、第2の処理ガスセットに第2の周波数よりも高い第1の周波数と第3の電力レベルよりも高い第1の電力レベルの第1のRF電力を印加することによって、開始層上にバルク窒化ケイ素層を堆積させることとを含む。第1の処理ガスセットは二原子水素ガスを含まず、第2の処理ガスセットは二原子窒素ガスを含まない。
[0007]更に別の実施形態では、窒化ケイ素膜を形成する方法が提供される。本方法は、表面を含む基板をチャンバ内に配置することと、ケイ素含有ガス及び第1の窒素含有ガスを含む第1の処理ガスセットを第1の総流量でチャンバ内に流すことと、第1の処理ガスセットに約1秒から約5秒の持続時間にわたって、10メガヘルツ(MHz)及び20MHzの第1の周波数と約50ワット(W)から約100Wの第1の電力レベルの第1の高周波(RF)電力を、8トール未満のチャンバの第1の圧力で印加することによって、基板の表面上にケイ素及び窒化物含有層を堆積させることと、第1の処理ガスセットの流れを中断することと、第2の窒素含有ガスを含む第2の処理ガスセットを第2の総流量でチャンバ内に流すことと、第2の処理ガスセットに約5秒から約15秒の持続時間にわたって、第1の周波数と約80Wから約120Wの第2の電力レベルの第2のRF電力を、チャンバの第2の圧力で印加することによって、ケイ素及び窒素含有層を処理することとを含む。第2の総流量は第1の総流量よりも高く、第2の電力レベルは第1の電力レベルよりも高く、チャンバの第1の圧力はチャンバの第2の圧力よりも高い。所定の厚さの第1の開始層が形成されるまで、第1の処理ガスセットを流すことと、ケイ素及び窒化物含有層を堆積させることと、第1の処理ガスセットの流れを中断することと、第2の処理ガスセットを流すことと、ケイ素及び窒素含有層を処理することとが繰り返される。第2の処理ガスセットの流れを中断して、ケイ素含有ガス、第3の窒素含有ガス、及び水素含有ガスを含む第3の処理ガスセットがチャンバ内に流される。第3の処理ガスセットは二原子窒素ガスを含まない。第3の処理ガスセットに約200から約300秒の持続時間にわたって第1の周波数と第1の電力レベルの第1のRF電力をチャンバの第3の圧力で印加することによって、第1の開始層上にバルク窒化ケイ素層が堆積される。チャンバの第2の圧力はチャンバの第3の圧力よりも高い。
[0008]上述した本開示の特徴を詳細に理解できるように、一部が添付の図面に例示されている実施形態を参照しながら、上記に要約した本開示をより具体的に説明する。しかし、添付の図面は例示的な実施形態のみを示すものであり、したがって、範囲を限定するものと見なすべきではなく、本開示は他の等しく有効な実施形態も許容しうることに留意されたい。
本開示の一実施形態に係る、プラズマ化学気相堆積チャンバの概略断面図である。 本開示の一実施形態に係る、周期的堆積処理によって窒化ケイ素膜を形成するフロー図である。 本開示の一実施形態に係る、バルク堆積によって窒化ケイ素膜を形成するフロー図である。 本開示の一実施形態に係る、周期的堆積処理とバルク堆積とを組み合わせることによって窒化ケイ素膜を形成するフロー図である。
[0013]理解を容易にするために、可能な場合には、図面に共通の同一の要素を指し示すのに同一の参照番号が使用されている。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に取り込まれうると考えられる。
[0014]本開示は、PECVD処理を使用して窒化ケイ素膜を形成する方法を提供する。膜は、高い圧縮応力を有するように堆積され得る。本書に記載のように、処理ガスの流れ及びRF電力を経時的に変化させることにより、必要とされる高い選択性、低いエッチング速度、低いボウイングデルタを有する窒化ケイ素膜が得られる。
[0015]図1は、窒化ケイ素膜を形成する方法に用いられるPECVDチャンバ100の概略断面図である。チャンバ100の一実施例は、カリフォルニア州サンタクララに位置するアプライドマテリアルズ社によって製造されたPRODUCER(登録商標)チャンバである。以下に説明するPECVDチャンバは例示的なPECVDチャンバであり、他の製造業者からのPECVDチャンバを含む他のPECVDチャンバが本開示の態様を達成するために使用又は修正され得ることを理解されたい。
[0016]チャンバ100は、基板101を支持するためにその中に配置された基板支持体106を含む処理容積104を含むチャンバ本体102を有する。基板支持体106は、加熱要素110と、基板支持体106の支持面107に静電チャック、真空チャック、基板保持クランプ等の基板101を保持する機構(図示せず)とを含む。基板支持体106は、上昇した処理位置と下降した位置との間で基板支持体106を移動させるリフトシステム(図示せず)に接続されたステム108によって処理容積104に連結され、移動可能に配置される。これにより、開口部112を介したチャンバ100への及びチャンバ100からの基板101の移送が容易になる。
[0017]チャンバ100は、ガス源116とチャンバ本体102との間に配置され、ガス源116から、処理容積104全体に処理ガスを分配するのに使用されるシャワーヘッド114への処理ガスの流量を制御する、マスフロー制御(MFC)デバイス等のフローコントローラ118を含む。シャワーヘッド114は、処理ガスから処理容積104内にプラズマを生成するためのRFフィード124によってRF電源122に接続される。RF電源122は、シャワーヘッド114と基板支持体106との間のプラズマの生成を容易にするために、シャワーヘッド114にRFエネルギーを供給する。ステム108は、支持面107とシャワーヘッド114との間の処理距離126において、基板支持体106を上昇した処理位置に移動させるように構成される。真空ポンプ120は、処理容積104内の圧力を制御するためにチャンバ本体102に連結される。コントローラ128は、チャンバ100に連結され、処理中にチャンバ100の態様を制御するように構成される。
[0018]図2は、下層の特性に合わせて調整された膜を形成する方法200、ハードマスクとしての膜の使用、及び膜上で使用されるエッチング化学のフロー図である。説明をわかりやすくするために、図1を参照しながら図2を説明していく。ただし、図1のチャンバ100以外のチャンバを方法200と併せて用いることができることに留意されたい。
[0019]工程201では、表面を含む基板101がチャンバ100に配置される。一実施形態では、基板101は、基板支持体106の支持面107上に配置され、支持面107は、シャワーヘッド114から処理距離126に配置される。処理距離126は、約250ミリメートル(mm)から約350mmである。処理距離126により、イオン衝撃が増加し膜が高密度化する。一実施形態では、基板支持体は、摂氏約300度(℃)から約500℃に加熱される。
[0020]工程202において、ケイ素含有ガス及び第1の窒素含有ガスが、第1の総流量でチャンバ100に流される。一実施形態では、フローコントローラ118は、ガス源116からのケイ素含有ガス及び第1の窒素含有ガスの第1の流量を制御し、シャワーヘッド114は、ケイ素含有ガス及び第1の窒素含有ガスを処理容積104全体に分配する。ケイ素含有ガスは、シラン(SH)、及び/又はシランのダイマー及びオリゴマーを含むことができ、ケイ素含有ガスの流量は、毎分約10標準立方センチメートル(sccm)から約50sccmであってよい。第1の窒素含有ガスは、アンモニア(NH)及び/又は二原子窒素ガス(N)を含む。いくつかの実施形態では、第1の窒素含有ガスのアンモニアは、約30sccmから約1500sccmの流量で流され、第1の窒素含有ガスの二原子窒素ガスは、約500sccmから約3000sccmの流量で流される。チャンバ100では、ケイ素含有ガスの空間速度(空間速度=(ガス流のsccm)/(処理容積のcc))は約0.003分−1から約0.4分−1、第1の窒素含有ガスのNHの空間速度は約0.25分−1から約10分−1、及び/又は第1の窒素含有ガスのNの空間速度は約0.35分−1から約19分−1である。一実施形態では、工程202において、2000sccmから約4000sccmの第1のアルゴン流量でアルゴン(Ar)が流され、約500sccmから約1500sccmの第1の水素ガス流量で二原子水素ガス(H)がチャンバ100に流される。
[0021]工程203において、約5Åから50Åのケイ素及び窒素含有層が堆積される。堆積中、ケイ素含有ガスと第1の窒素含有ガスに第1の周波数と第1の電力レベルの第1の高周波(RF)電力が印加され、ケイ素含有ガス及び第1の窒素含有ガスがイオン化される。一実施形態では、RF電源122によりRFエネルギーをシャワーヘッド114に供給して、シャワーヘッド114と基板支持体106との間のプラズマの生成を促進する。第1のRF電力は、約1秒から約8秒にわたってチャンバの第1の圧力(約6トール未満)で印加され得る。第1の周波数は、10MHzから約20MHzであってよい。第1の電力レベルは、約50Wから約100Wの範囲である。第1のRF電力は、約0.05W/cmから約0.35W/cmの電力密度(電力密度=電力(W)/基板の表面積(cm))で印加される。
[0022]工程204aにおいて、工程203でケイ素及び窒素含有層が堆積された後に、ケイ素含有ガス及び第1の窒素含有ガスの流れが中断される。工程204bでは、第2の窒素含有ガスが第1の総流量よりも高い第2の流量でチャンバ100内に流される。第2の窒素含有ガスは二原子窒素ガス(N)であり、いくつかの実施形態では、約10000sccmから約20000sccmの第2の流量でチャンバ100内に流される。第2の窒素含有ガスのNの空間速度は、約4.0分−1から約130.0分−1である。一実施形態では、工程204bにおいて、アルゴン(Ar)が約7000sccmから約8000sccmの第2のアルゴン流量でチャンバ100内に流される。
[0023]工程205において、ケイ素及び窒素含有層が処理される。第2の窒素含有ガスに10MHzから約20MHzの第1の周波数と第2の電力レベルの第2のRF電力が印加される。第2のRF電力は、約5秒から約20秒にわたってチャンバ100の第1の圧力よりも高いチャンバ100の第2の圧力で印加され得る。一実施例では、第2の圧力は6トール未満である。第2の電力レベルは、約80Wから約120Wの範囲であってよい。第2のRF電力は、約0.08W/cmから約0.3W/cmの電力密度で印加される。第2の電力レベルは第1の電力レベルよりも高い。
[0024]工程206において、周期的堆積処理プロセスが繰り返されるか否かの決定が行われる。上記決定は、例えば約100Åから約800Å等の所定の厚さの膜が形成されるか否かに基づいてもよい。一実施形態では、方法200によって形成されたケイ素及び窒素含有層は、約−1.00ギガパスカル(GPa)から約−2.00GPaの応力、2.50g/cmから約3.50g/cmの密度、1.50から2.50の屈折率、及び毎分約6.00オングストローム(Å/分)から約7.00Å/分の湿式エッチング速度を有する。方法200によって形成されたケイ素及び窒素含有層の応力、密度、屈折率、及び湿式エッチング速度は、原子層堆積(ALD)によって形成されたケイ素及び窒素含有層の応力、密度、屈折率、及び湿式エッチング速度に実質的に等しい。しかしながら、いくつかの実施形態では、方法200を介して毎時少なくとも15枚の基板が処理され得る。一実施形態では、方法200を介して毎時25枚の基板が処理され得る。対照的に、ALDを使用した基板のスループットは一般に低くなる。
[0025]更に、方法200は更に、膜を酸窒化ケイ素膜に変換させずに窒化ケイ素膜のエッチング速度を最適化するために、第1の酸素ドーピング処理又は第2の酸素ドーピング処理を含み得る。第1の酸素ドーピング処理の一実施例では、工程204bにおいて、酸素含有ガスがチャンバ100内に流され、工程205での処理中に、酸素含有ガスに第2のRF電力が印加される。第2の酸素ドーピング処理では、工程205の処理後に酸素含有ガスが流され、約2秒から約10秒にわたって第2の圧力で第2のRF電力が印加される。酸素含有ガスは、亜酸化窒素(NO)及び/又は酸素ガス(O)を含み得る。NOの流量は約50sccmから約800sccmであってよく、Oの流量は約10sccmから約1,000sccmであってよい。酸素含有ガスの空間速度は約0.003分−1から約12.0分−1である。第2のRF電力は、10MHzから約20MHzの第1の周波数と第2の電力レベルで印加される。更に、層を処理した後にUV硬化させて、膜を更に高密度化させることができる。
[0026]図3は、下層の特性、ハードマスクとしての膜の使用、及び膜上で使用されるエッチング化学に合わせて調整された膜を形成するための方法300のフロー図である。説明をわかりやすくするために、図1を参照しながら図3を説明していく。ただし、図1のチャンバ100以外のチャンバを方法300と併せて用いることができることに留意されたい。
[0027]工程301では、表面を有する基板101がチャンバ100に配置される。一実施形態では、基板101は、基板支持体106の支持面107上に配置され、支持面107は、シャワーヘッド114から処理距離126に配置される。処理距離126は、約250ミリメートル(mm)から約350mmである。処理距離126により、イオン衝撃が増加して膜が高密度化する。一実施形態では、基板支持体は、摂氏約300度(℃)から約500℃に加熱される。基板は、オプションとして、工程201の後に、約10秒から約30秒にわたって約200sccmから約600sccmのNHを流すことにより、アンモニア(NH)に浸漬してもよい。
[0028]工程302において、ケイ素含有ガス及び第1の窒素含有ガスを含む第1の処理ガスセットがチャンバ100に流される。第1の処理ガスセットは二原子水素ガスを含まず、不活性ガスも含み得る。ケイ素含有ガスは、シラン(SH)、及び/又はシランのダイマー及びオリゴマーを含み得る。一実施形態では、フローコントローラ118は、ガス源116からの第1の処理ガスセットの流量を制御し、シャワーヘッド114は、処理容積104全体に第1の処理ガスセットを分配する。ケイ素含有ガスは、約10から約50sccmの流量でチャンバ100内に流される。第1の窒素含有ガスは、アンモニア(NH)及び二原子窒素ガス(N)を含む。第1の窒素含有ガスのNHは、約100sccmから約200sccmの流量で流され、第1の窒素含有ガスの二原子窒素ガスは、約1000sccmから約3000sccmの流量で流される。不活性ガスは、2000sccmから約4000sccmの流量のアルゴン(Ar)を含み得る。チャンバ100では、ケイ素含有ガスの空間速度は約0.003分−1から約0.4分−1であり、第1の窒素含有ガスのNHの空間速度は約0.03分−1から約1.3分−1であり、第1の窒素含有ガスのNの空間速度は約0.3分−1から約19分−1であり、不活性ガスの空間速度は約0.5分−1から約25分−1である。
[0029]工程303において、約5Åから約50Åの開始層が堆積される。処理ガスの流れが少なくなるとプラズマの不安定性につながり得るために、開始層により、理想的な表面粗さ、バルク堆積層の接着性、プラズマ安定性を有する膜が得られる。第1の処理ガスセットに第2の周波数と第3の電力レベルの第3のRF電力が印加される。第3のRF電力は、約10秒から約20秒の持続時間にわたって約2トールから約8トールのチャンバの第1の圧力で印加される。一実施形態では、RF電源122によりシャワーヘッド114にRFエネルギーを供給して、シャワーヘッド114と基板支持体106との間のプラズマの生成を促進する。第2の周波数及び第3の電力レベルはそれぞれ、約500kHz未満であり、約50Wから約100Wである。第3のRF電力は、約0.05W/cmから約0.25W/cmの電力密度で印加される。
[0030]工程304aにおいて、開始層が堆積された後、第1の窒素含有ガスの流れが中断される。工程304bは、ケイ素含有ガス、第2の窒素含有ガス、及び水素含有ガスを含む第2の処理ガスセットをチャンバ100内に流すことを含む。第2の処理ガスセットは、二原子窒素ガスを含まず、不活性ガスも含み得る。一実施例では、ケイ素含有ガスは、約10sccmから約50sccmの流量のシラン(SiH)を含み、第2の窒素含有ガスはアンモニア(NH)を含み、水素含有ガスは3000sccmから約4000sccmの流量の二原子水素(H)を含む。HがSi−H結合を切断し、膜内水素を除去してダングリングボンドを生成する一方で、窒素含有ガスは基板の活性表面(ダングリングボンド等)と反応してSi−Si結合とSi−N結合を生成し、窒素リッチ膜を形成する。不活性ガスは、約2000sccmから約4000sccmの流量のArを含み得る。チャンバ100では、ケイ素含有ガスの空間速度は約0.003分−1から約0.4分−1であり、第2の窒素含有ガスの空間速度は約0.4分−1から約13分−1であり、水素含有ガスの空間速度は約1.0分−1から約26分−1であり、不活性ガスの空間速度は約0.7分−1から約26分−1である。
[0031]工程305において、約100Åから約700Åのバルク窒化ケイ素層が堆積される。第2の処理ガスセットに第1の周波数と第1の電力レベルの第1のRF電力が印加される。第1の周波数は第2の周波数よりも高く、第1の電力レベルは第3の電力レベルよりも高い。第1のRF電力は、約200秒から約300秒にわたって、開始層の堆積中のチャンバ100の圧力と実質的に同じチャンバ100の第2の圧力で印加され得る。第1の周波数は10MHzから約20MHzであり、第1の電力レベルは約50Wから約100Wである。第1のRF電力は、約0.05W/cmから約0.25W/cmの電力密度で印加される。処理ガスに印加される電力及び周波数を工程303と比較して増加させることによって、イオン衝撃を増加させ、膜を高密度化することができると考えられる。
[0032]開示のバルク堆積処理による窒化ケイ素膜は、開始層及びバルク窒化ケイ素層を含むケイ素及び窒素含有膜を形成する。ケイ素及び窒素含有膜は、約100Åから約800Å等の所定の厚さで形成される。一実施形態では、方法300によって形成されたケイ素及び窒素含有膜は、約−1.00ギガパスカル(GPa)から約−2.00GPaの応力、約2.50g/cmから約3.50g/cmの密度、約1.50から約2.50の屈折率、毎分約6.00オングストローム(Å/分)から約7.00Å/分の湿式エッチング速度を有する。方法300によって形成されたケイ素及び窒素含有膜の応力、密度、屈折率、湿式エッチング速度は、原子層堆積(ALD)によって形成されたケイ素及び窒素含有層の応力、密度、屈折率、及び湿式エッチング速度と実質的に等しい。しかしながら、ある実施形態では、毎時少なくとも15枚の基板が方法300を介して処理され得る。
[0033]方法300は、第1の処理ガスセットから第2の処理ガスセットへ、及び第3のRF電力から第1のRF電力へと移行するために、工程303における開始層の堆積からの移行、及びバルク窒化ケイ素層の堆積への移行の2つの移行処理を含み得る。開始層の堆積からの移行は、第1の処理ガスセットに約1秒から約3秒の持続時間にわたって、第1の周波数と第4の電力レベルの第4のRF電力を印加し、第2の周波数と第3の電力レベルの第3のRF電力を印加することを含む。第4の電力レベルは約25Wから約75Wである。第3の電力レベルは第4の電力レベルよりも高い。第4のRF電力は約0.02W/cmから約0.2W/cmの電力密度で印加される。
[0034]バルク窒化ケイ素層の堆積への移行は、第1の処理ガスセットに約1秒から約3秒の持続時間にわたって、第1の周波数と第1の電力レベルの第1のRF電力を印加し、第2の周波数と約15Wから約45Wの第5の電力レベルの第5のRF電力を印加することを含む。開始層の堆積からの移行中の第1の窒素含有ガスの流量は、バルク窒化ケイ素層の堆積への移行中の第1の窒素含有ガスの流量よりも高い。第5のRF電力は、約0.015W/cmから約0.12W/cmの電力密度で印加される。
[0035]更に、方法300は、工程302において酸素含有ガスをチャンバ100内に流し、工程303において酸素含有ガスに第3のRF電力を印加することによって、開始層に酸素ドーピングすることを更に含み得る。酸素含有ガスは、亜酸化窒素(NO)及び/又は酸素ガス(O)を含み得る。工程302において、NOの流量は約40sccmから約1,000sccmであってよく、Oの流量は約10sccmから約1,000sccmであってよい。工程302において、酸素含有ガスの空間速度は約0.003分−1から約12分−1である。工程304bにおいて酸素含有ガスをチャンバ100内に流し、工程205において酸素含有ガスに第1のRF電力を印加することによって、バルク窒化ケイ素層に酸素ドーピングしてもよい。工程304bにおいて、NOの流量は約40sccmから約1,000sccmであってよく、Oの流量は約10sccmから約1,000sccmであってよい。工程304bにおいて、酸素含有ガスの空間速度は約0.003分−1から約12分−1である。加えて、工程305中に、膜を様々な厚さでUV硬化させることにより、更に膜を高密度化させることができる。
[0036]図4は、下層の特性、ハードマスクとしての膜の使用、及び膜上で使用されるエッチング化学に合わせて調整された膜を形成するための方法400のフロー図である。説明をわかりやすくするために、図1を参照しながら図4を説明していく。ただし、図1のチャンバ100以外のチャンバを方法400と併せて用いることができることに留意されたい。
[0037]工程401において、表面を有する基板101がチャンバ100に配置される。一実施形態では、基板101は、基板支持体106の支持面107上に配置され、支持面107は、シャワーヘッド114から処理距離126に配置される。処理距離126は、約250ミリメートル(mm)から約350mmである。処理距離126により、イオン衝撃が増加して膜を高密度化する。一実施形態では、基板支持体は、摂氏約300度(℃)から約500℃に加熱される。基板は、オプションとして、約10秒から約30秒にわたって約200sccmから約600sccmのアンモニア(NH)を流すことにより、工程401の後にNHに浸漬してもよい。
[0038]工程402において、ケイ素含有ガス及び第1の窒素含有ガスを含む第1の処理ガスセットが第1の総流量でチャンバ100内に流される。一実施形態では、フローコントローラ118は、ガス源116からの第1の処理ガスセットの流量を制御し、シャワーヘッド114は、第1の処理ガスセットを処理容積104全体に分配する。ケイ素含有ガスは、約10sccmから約50sccmの流量のシラン(SH)及び/又はシランのダイマー及びオリゴマーを含み得る。第1の窒素含有ガスは、アンモニア(NH)と二原子窒素ガス(N)を含む。第1のガスセットのアンモニアは、約750sccmから約1500sccmの流量で流され、第1のガスセットの窒素含有ガスは、約1000sccmから約3000sccmの流量で流される。チャンバ100では、ケイ素含有ガスの空間速度は約0.003分−1から約0.4分−1であり、第1の窒素含有ガスのNHの空間速度は約0.25分−1から約10分−1であり、第1の窒素含有ガスのNの空間速度は約0.35分−1から約20分−1である。
[0039]工程403において、約5Åから50Åのケイ素及び窒素含有層が堆積される。堆積中に、第1の処理ガスセットに第1の周波数と第1の電力レベルの第1のRF電力が印加される。一実施形態では、RF電源122により、シャワーヘッド114にRFエネルギーを供給して、シャワーヘッド114と基板支持体106との間のプラズマの生成を促進する。第1のRF電力は、約1秒から約5秒にわたって8トール未満のチャンバの第1の圧力で印加され得る。第1の周波数は約10MHzから約20MHzであり、第1の電力レベルは約50Wから約100Wである。第1の電力は、約0.05から約0.25の電力密度で印加される。
[0040]工程404aにおいて、ケイ素及び窒素含有層が堆積された後、第1の処理ガスセットの流れが中断される。工程404bにおいて、第2の窒素含有ガスを含む第2の処理ガスセットが第1の総流量よりも高い第2の総流量でチャンバ100内に流される。第1の窒素含有ガスは、約10000sccmから約20000sccmの流量の二原子窒素ガス(N)である。第2の窒素含有ガスのNの空間速度は、約3.5分−1から約128分−1である。
[0041]工程405において、ケイ素及び窒素含有層が処理される。第2の窒素含有ガスに約10MHzから約20MHzの第1の周波数と第2の電力レベルの第2のRF電力が印加される。第2のRF電力は、ケイ素及び窒化物層の堆積中に約5秒から約20秒にわたって、チャンバの第1の圧力よりも高いチャンバの第2の圧力で印加され得る。第2の圧力は8トール未満であり、第2の電力レベルは約80Wから約120Wである。第2の電力レベルは第1の電力レベルよりも高い。
[0042]工程406において、周期的堆積処理プロセスを繰り返すか否かの決定が行われる。上記決定は、例えば、約5Åから約50Å等の所定の厚さをの第1の開始層が形成されるか否かに基づいてもよい。更に、方法400は、第1の酸素ドーピング処理又は第2の酸素ドーピング処理を更に含み、膜を酸窒化ケイ素膜に変換させずに、窒化ケイ素膜のエッチング速度を最適化することができる。第1の酸素ドーピング処理では、工程404bにおいて、酸素含有ガスがチャンバ100内に流され、工程405での処理中に第2のRF電力が酸素含有ガスに印加される。第2の酸素ドーピング処理では、工程405の処理後に酸素含有ガスが流され、約2秒から約10秒にわたる処理後に第2のRF電力が印加される。酸素含有ガスには、亜酸化窒素(NO)及び/又は酸素ガス(O)が含まれ得る。NOの流量は約50sccmから約800sccmであってよく、Oガスの流量は約10sccmから約1,000sccmであってよい。酸素含有ガスの空間速度は約0.003分−1から約12分−1である。第2のRF電力は、第1の周波数と第2の電力レベルで印加される。更に、処理後に層をUV硬化させて、膜を更に高密度化させることができる。
[0043]工程406で第1の開始層が形成された後、工程407aにおいて第2の処理ガスセットが中断され、工程407bにおいてケイ素含有ガス、第3の窒素含有ガス、及び水素含有ガスを含む第3の処理ガスセットがチャンバ100内に流される。一実施形態では、工程407a及び工程407bは同時に行われる。第3の処理ガスセットは、二原子窒素ガスを含まず、不活性ガスも含み得る。一実施例では、ケイ素含有ガスは約10sccmから約50sccmの流量のシラン(SiH)を含み、第3の窒素含有ガスはアンモニア(NH)を含み、水素含有ガスは3000sccmから約4000sccmの流量のHを含む。不活性ガスは、2000sccmから約4000sccmの流量のArを含み得る。チャンバ100では、ケイ素含有ガスの空間速度は約0.003分−1から約0.4分−1であり、第3の窒素含有ガスの空間速度は約0.25分−1から約10分−1であり、水素含有ガスの空間速度は約1分−1から約26分−1であり、不活性ガスの空間速度は約0.7分−1から約26分−1である。
[0044]工程408において、約100Åから約700Åのバルク窒化ケイ素層が堆積される。第3の処理ガスセットに第1の周波数と第1の電力レベルの第1のRF電力が印加される。第1のRF電力は、ケイ素及び窒素含有層の処理中に、約200秒から約300秒にわたってチャンバの第2の圧力よりも低いチャンバの第3の圧力で印加され得る。第1の周波数は約10MHzから約20MHzであり、第1の電力レベルは約50Wから約100Wであってよい。第1の電力は、約0.05から約0.25の電力密度で印加される。
[0045]開示の窒化ケイ素膜堆積処理は、少なくとも第1の開始層とバルク窒化ケイ素層とを含むケイ素及び窒素含有膜を形成する。ケイ素及び窒素含有膜は、約100Åから約800Å等の所定の厚さで形成される。一実施形態では、方法400によって形成されたケイ素及び窒素含有膜は、約−1.00ギガパスカル(GPa)から約−2.00GPaの応力、約2.50g/cmから約3.50g/cmの密度、約1.50から約2.50の屈折率、及び毎分約6.00オングストローム(Å/分)から約7.00Å/分の湿式エッチング速度を有する。方法300によって形成されたケイ素及び窒素含有膜の応力、密度、屈折率、及び湿式エッチング速度は、ALDによって形成されたケイ素及び窒素含有層の応力、密度、屈折率、及び湿式エッチング速度に実質的に等しい。しかしながら、いくつかの実施形態では、方法300を介して少なくとも毎時15枚の基板が処理され得る。
[0046]方法400は更に、バルク窒化ケイ素層を堆積させる前に第2の開始層を堆積させることを含み得る。工程407aにおいて、第2の処理ガスセットの流れが中断された後かつ第3の処理ガスセットが流される前に、ケイ素含有ガス及び第1の窒素含有ガスを含む第4の処理ガスセットが処理チャンバに流される。第1の処理ガスセットは二原子水素ガスを含まず、不活性ガスも含み得る。ケイ素含有ガスは、シラン(SH)及び/又はシランのダイマー及びオリゴマーを含み、ケイ素含有ガスの流量は、約10から約50sccmであり得る。第1の窒素含有ガスは、アンモニア(NH)及び二原子窒素ガス(N)を含む。いくつかの実施形態では、第1の窒素含有ガスのアンモニアは、約100sccmから約200sccmの流量で流され、第1の窒素含有ガスの二原子窒素ガスは、約1000sccmから約3000sccmの流量で流される。不活性ガスは、2000sccmから約4000sccmの流量のArを含み得る。チャンバ100では、ケイ素含有ガスの空間速度は約0.003分−1から約0.4分−1であり、第1の窒素含有ガスのNHの空間速度は約0.03分−1から約3分−1であり、第1の窒素含有ガスのNの空間速度は約0.35分−1から約19分−1であり、不活性ガスの空間速度は約0.7分−1から約26分−1である。
[0047]第4の処理ガスセットに500kHz未満の第2の周波数と約50Wから約100Wの第3の電力レベルの第3のRF電力を印加して約5Åから約50Åの第2の開始層を堆積させることにより、第2の開始層が堆積される。第3のRF電力は、約10秒から約20秒の持続時間にわたってチャンバの第3の圧力で印加される。第3のRF電力は、約0.05W/cmから約0.25W/cmの電力密度で印加される。
[0048]第2の開始層を堆積させた後、方法400は、第4の処理ガスセットから第3の処理ガスセットへ移行し、第3のRF電力から第1のRF電力へ移行するために、第1の開始層の堆積から移行することと、バルク窒化ケイ素層の堆積へ移行することの、2つの移行処理を含み得る。開始層の堆積からの移行は、第4の処理ガスセットに約1秒から約3秒の持続時間にわたって、第1の周波数と約25Wから約75Wの第4の電力レベルの第4のRF電力を印加することと、第2の周波数と第3の電力レベルの第3の電力を印加することとを含む。第3の電力レベルは、第4の電力レベルよりも高い。
[0049]バルク窒化ケイ素層の堆積へ移行することは、第1の処理ガスセットに約1秒から約3秒の持続時間にわたって、第1の周波数と第1の電力レベルの第1のRF電力を印加し、第2の周波数と約15Wから約45Wの第5の電力レベルの第5のRF電力を印加することを含む。開始層の堆積からの移行中の第1の窒素含有ガスの流量は、バルク窒化ケイ素層の堆積への移行時の第1の窒素含有ガスの流量よりも高い。堆積への移行後に、第4の処理ガスセットの流れが中断される。
[0050]更に、方法400は更に、第2の処理ガスセットの流れが中断された後かつ第3の処理ガスセットが流される前に、工程407aにおいて酸素含有ガスを処理チャンバ内に流すことによって第2の開始層に酸素ドーピングすることを含み得る。酸素ドーピング中に、第2の開始層が堆積される間に酸素含有ガスに第3のRF電力が印加される。酸素含有ガスは、亜酸化窒素(NO)及び/又は酸素ガス(O)を含み得る。第2の開始層に酸素ドーピングするためのNOの流量は約40sccmから約1,000sccmであってよく、Oの流量は約10sccmから約1,000sccmであってよい。第2の開始層に酸素ドーピングするための酸素含有ガスの空間速度は、約0.003分−1から約13分−1である。工程408において酸素含有ガスを処理チャンバ内に流して、工程408において酸素含有ガスに第2のRF電力を印加することによって、バルク窒化ケイ素層も酸素ドーピングされ得る。工程408において、NOの流量は約40sccmから約1,000sccmであってよく、Oの流量は約10sccmから約1,000sccmであってよい。工程408において、酸素含有ガスの空間速度は、約0.003分−1から約13分−1である。更に、工程408の間に膜を様々な厚さにUV硬化させて、膜を更に高密度化させることができる。
[0051]例示的な実施形態では、300mmの円形半導体基板が、1.4Lの処理容積を有するチャンバ100に配置される。ケイ素含有ガスは、約0.01分−1の空間速度及び約30sccmの流量のSiHである。第1の窒素含有ガスは、約0.7分−1の空間速度及び約50sccmの流量のNHを含む。Arは、約3000sccmの流量でチャンバ100内に流される。Hは、約0.7分−1の空間速度及び約1000sccmの流量でチャンバ100に流される。ケイ素及び第1の窒素含有ガス、Ar、及びHに約4秒にわたって約13.56MHzの周波数と約80Wの電力レベルの第1のRF電力が印加される。チャンバ100の第1の圧力は約2.2トールである。第2の窒素含有ガスの流れは、約10.7分−1の空間速度における約11000sccmのNを含む。Arは約7600sccmの流量でチャンバ100内に流される。窒素含有ガス及びArに約13秒にわたって約13.56MHzの周波数と約100Wの電力レベルの第2のRF電力が印加される。チャンバの第2の圧力は約4トールである。周期的堆積処理プロセスを25サイクル繰り返すことで、約330Åの所定の厚さの膜が形成される。約330Åの膜は、約−1.32GPaの応力、約2.50g/cmの密度、約2.0002の屈折率、及び約6.5Å/分の湿式エッチング速度を有する。約330Åの膜を形成する例示的な実施形態を介して、毎時約16枚の基板が処理され得る。周期的堆積処理プロセスを41サイクル繰り返すことで、約660Åの所定の厚さの膜が形成される。約660Åの膜は、約_GPaの応力、約2.995g/cmの密度、約_の屈折率、及び約_Å/分の湿式エッチング速度を有する。約660Åの膜を形成する例示的な実施形態を介して、毎時約25枚の基板が処理され得る。同じ基板構成及び処理容積を使用する別の実施形態では、まず基板が400sccmのNHの流れによって約20秒にわたりNHに浸漬される。次に、第1の処理ガスセットの流れは、約0.04分−1の空間速度における約50sccmのSiH、約0.1分−1の空間速度における約150sccmのNH、約1.4分−1の空間速度における約2000sccmのN、及び約1.4分−1の空間速度における約2000sccmのArを含む。第1の処理ガスに約15秒にわたって約300kHzの第2の周波数と約75Wの第1の電力レベルの第3のRF電力が印加される。約20Åの厚さの開始層を堆積させるためのチャンバの第1の圧力は約2トールである。開始層の堆積からの移行は、第1の処理ガスセットに約1秒の持続時間にわたって、約300kHzの周波数と約50Wの第4の電力レベルの第4のRF電力を印加し、約13.56MHzの周波数と第3の電力レベルの第3のRF電力を印加することを含む。開始層の堆積からの移行中のNHの流量は約150sccmであり、Nの流量は約2000sccmである。
[0052]バルク窒化ケイ素層の堆積への移行は、最初に、第1の処理ガスセットに約1秒の持続時間にわたって、約13.56MHzの周波数と約80Wの第1の電力レベルの第3のRF電力を印加し、約300kHzの周波数と約30Wの第5の電力レベルの第5のRF電力を印加することを含む。バルク窒化ケイ素層の堆積への移行中のNHの流量は約100sccmであり、Nの流量は約1000sccmである。
[0053]第2の処理ガスセットの流れは、約0.04分−1の空間速度における約50sccmのSiH、約0.07分−1の空間速度における約100sccmのNH、及び約2.5分−1の空間速度における約3500sccmのHを含む。第2の処理ガスセットに約217秒の持続時間にわたって約13.56MHzの周波数と約80Wの第2の電力レベルの第1のRF電力を印加することによって、約200Åのバルク窒化ケイ素層が堆積される。チャンバの第2の圧力は約2トールである。約220Åの所定の厚さの膜が形成される。
[0054]同じ基板構成及び処理容積を使用する別の実施形態では、基板は、約400sccmのNHの流れによって約20秒にわたってNHに浸漬される。第1の処理ガスセットの流れは、約0.008分−1の空間速度における約12sccmのSiH、約0.7分−1の空間速度における約1000sccmのNH、及び約0.009分−1の空間速度における約1000sccmのNを含む。第1の処理ガスセットに約2秒にわたって約13.56MHzの周波数と約80Wの電力レベルの第1のRF電力が印加される。処理チャンバの第1の圧力は約3トールである。第2の処理ガスセットの流れは、約10.7分−1の空間速度における約15000sccmのNを含み、約10.7分−1の空間速度における約500sccmのNOの流れが追加で流される。窒素含有ガス及びNOに約10秒にわたって約13.56MHzの周波数と100Wの電力レベルの第2のRF電力が印加される。チャンバの第2の圧力は約4トールである。層は、処理後にUV硬化され、更に膜が高密度化される。約10Åの所定の厚さの第1の開始層が形成されるまで、周期的堆積処理プロセスが繰り返される。
[0055]第4の処理ガスセットの流れは、0.04分−1の空間速度における約50sccmのSiH、約0.11分−1の空間速度における約150sccmのNH、約1.4分−1の空間速度における2000sccmのN、及び約1.4分−1の空間速度における約2000sccmのArを含む。第4の処理ガスセットに約2秒にわたって約300kHzの周波数と約80Wの第1の電力レベルの第1のRF電力が印加される。約10Åの厚さの第2の開始層を堆積させるためのチャンバの第1の圧力は約2トールである。
[0056]第2の開始層の堆積からの移行は、第4の処理ガスセットに約1秒の持続時間にわたって、約13.56MHzの第1の周波数と約50Wの第4の電力レベルの第4のRF電力を印加し、約300kHzの周波数と第3の電力レベルの第3のRF電力を印加することを含む。開始層の堆積から移行中のNHの流量は約150sccm、Nの流量は約2000sccmである。バルク窒化ケイ素層の堆積への移行は、第4の処理ガスセットに約1秒の持続時間にわたって、約13.56MHzの周波数と約80Wの第1の電力レベルの第1のRF電力を印加し、約300kHzの周波数と約30Wの第5の電力レベルの第5のRF電力を印加することを含む。バルク窒化ケイ素層の堆積への移行中のNHの流量は約100sccmであり、Nの流量は約1000sccmである。
[0057]第3の処理ガスセットの流れは、約0.04分−1の空間速度における約50sccmのSiH、約0.07分−1の空間速度における約100sccmのNH、及び2.1分−1の空間速度における約3000sccmのH、及び2.1分−1の空間速度における約3000sccmのArを含む。第3の処理ガスセットに約217秒にわたって約13.56MHzの周波数及び約80Wの第1の電力レベルの第1のRF電力を印加することによって、約200Åのバルク窒化ケイ素層が堆積される。バルク窒化ケイ素層を堆積させるためのチャンバの第2の圧力は約2トールである。約220Åの所定の厚さの膜が形成される。
[0058]要約すると、ALDによって形成されたケイ素及び窒化物含有膜と実質的に等しい応力、密度、屈折率、及び湿式エッチング速度を有するケイ素及び窒化物含有膜を形成するPECVD処理が開示される。PECVD処理により、毎時少なくとも16枚の基板のスループットが可能になる。
[0059]以上の記述は、本開示の実施例を対象としているが、本開示の基本的な範囲から逸脱することなく、本開示の他の実施例及び更なる実施例を考案することができ、本開示の範囲は、下記の特許請求の範囲によって決定される。

Claims (15)

  1. 窒化ケイ素膜を形成する方法であって、
    表面を含む基板をチャンバ内に配置することと、
    ケイ素含有ガス及び第1の窒素含有ガスを、第1の総流量で前記チャンバ内に流すことと、
    前記ケイ素含有ガス及び前記第1の窒素含有ガスに第1の電力レベルの第1の高周波(RF)電力を印加することによって、前記基板の前記表面上にケイ素及び窒素含有層を堆積させることと、
    前記ケイ素含有ガス及び前記第1の窒素含有ガスの流れを中断して、前記第1の総流量よりも高い流量で第2の窒素含有ガスを前記チャンバ内に流すことと、
    前記第2の窒素含有ガスに前記第1の電力レベルよりも高い第2の電力レベルの第2のRF電力を印加することによって、前記ケイ素及び窒素含有層を処理することと、
    所定の厚さの膜が形成されるまで、前記ケイ素含有ガス及び前記第1の窒素含有ガスを流すことと、前記ケイ素及び窒素含有層を堆積させることと、前記ケイ素含有ガス及び前記第1の窒素含有ガスの流れを中断して前記第2の窒素含有ガスを流すことと、前記ケイ素及び窒素含有層を処理することとを、繰り返すことと
    を含む方法。
  2. 前記ケイ素含有ガスはシラン(SiH)を含み、
    前記ケイ素含有ガスは、毎分約10標準立方センチメートル(sccm)から約50sccmの流量で流され、
    前記第1の窒素含有ガスは、アンモニア(NH)及び二原子窒素ガス(N)の少なくとも1つを含み、
    前記第1の窒素含有ガスの前記アンモニアは、約30sccmから約1500sccmの流量で流され、
    前記第1の窒素含有ガスの前記二原子窒素ガスは、約500sccmから約3000sccmの流量で流され、
    前記第2の窒素含有ガスは、二原子窒素ガス(N)を含み、
    前記第2の窒素含有ガスは、約10000sccmから約20000sccmの流量で流される、請求項1に記載の方法。
  3. 前記チャンバの圧力が6トール以下であり、前記ケイ素及び窒素含有層の前記処理中の前記チャンバ内の圧力が、前記ケイ素及び窒素含有層の前記堆積中の前記チャンバ内の圧力よりも高い、請求項1に記載の方法。
  4. 前記第1の電力レベルは、約50ワット(W)から約100Wであり、
    前記第1のRF電力は、約1秒から約5秒の持続時間にわたって印加され、
    前記第2の電力レベルは、約80Wから約120Wであり、
    前記第2のRF電力は、約5秒から15秒の持続時間にわたって印加される、
    請求項1に記載の方法。
  5. 酸素含有ガスを前記チャンバ内に流すことと、
    前記ケイ素及び窒素含有層の前記処理中に前記酸素含有ガスに前記第2のRF電力を印加すること、又は、前記ケイ素及び窒素含有層の前記処理後に前記酸素含有ガスに前記第2のRF電力を印加することと
    を更に含み、前記ケイ素及び窒素含有層の前記処理後の前記第2のRF電力は、約2秒から約10秒の持続時間にわたって前記第2の電力レベルで印加される、請求項4に記載の方法。
  6. 窒化ケイ素膜を形成する方法であって、
    表面を含む基板をチャンバ内に配置することと、
    ケイ素含有ガス及び第1の窒素含有ガスを含み、二原子水素ガスを含まない、第1の処理ガスセットを前記チャンバ内に流すことと、
    前記第1の処理ガスセットに第2の周波数と第3の電力レベルの第3の高周波(RF)電力を印加することによって前記基板の前記表面上に開始層を堆積させることと、
    前記第1の処理ガスセットの前記第1の窒素含有ガスの流れを中断して、前記ケイ素含有ガス、第2の窒素含有ガス、及び水素含有ガスを含み、二原子窒素ガスを含まない、第2の処理ガスセットを前記チャンバ内に流すことと、
    前記第2の処理ガスセットに前記第2の周波数よりも高い第1の周波数と前記第3の電力レベルよりも高い第1の電力レベルの第1のRF電力を印加することによって、前記開始層上にバルク窒化ケイ素層を堆積させることと
    を含む方法。
  7. 前記ケイ素含有ガスが、シラン(SiH)を含み、
    前記第1の処理ガスセットを流している間に、毎分約10標準立方センチメートル(sccm)から約50sccmの流量で前記ケイ素含有ガスが流され、
    前記第1の窒素含有ガスが、アンモニア(NH)及び二原子窒素ガス(N)を含み、
    前記第1の処理ガスセットを流している間に、約100sccmから約200sccmの流量でアンモニアが流され、約1000から約3000sccmの流量で前記二原子窒素ガス(N)が流され、
    前記第2の窒素含有ガスが、アンモニア(NH)を含み、
    前記第2の処理ガスセットを流している間に、約100sccmから約200sccmの流量で前記第2の窒素含有ガスが流され、
    前記水素含有ガスが、二原子水素ガス(H)を含み、
    前記第2の処理ガスセットを流している間に、約3000sccmから4000sccmの流量で前記水素含有ガスが流される、請求項6に記載の方法。
  8. 前記チャンバの圧力は8トール以下であり、前記開始層の前記堆積中のチャンバ内の圧力は、前記バルク窒化ケイ素層の前記堆積中の前記チャンバ内の圧力と同じである、請求項6に記載の方法。
  9. 前記第3の電力レベルは、約50ワット(W)から約100Wであり、
    前記第2の周波数は、500キロヘルツ(kHz)未満であり、
    前記第3のRF電力は、約10秒から20秒の持続時間にわたって印加され、
    前記第1の電力レベルは、約50Wから約100Wであり、
    前記第1の周波数は、約10メガヘルツ(MHz)から約20MHzであり、
    前記第1のRF電力は、約200秒から300秒の持続時間にわたって印加される、
    請求項6に記載の方法。
  10. 前記第1の処理ガスセットを流す前に、約200sccmから約600sccmのNHを流すことを更に含む、請求項6に記載の方法。
  11. 酸素含有ガスを前記チャンバ内に流すことと、前記酸素含有ガスに前記第3のRF電力を印加することを更に含み、前記第3のRF電力は前記開始層の前記堆積中に前記酸素含有ガスに印加される、請求項6に記載の方法。
  12. 前記酸素含有ガスを前記チャンバ内に流すことと、前記酸素含有ガスに前記第1のRF電力を印加することとを更に含み、前記第1のRF電力は前記バルク窒化ケイ素層の前記堆積中に前記酸素含有ガスに印加される、請求項11に記載の方法。
  13. 前記第1の処理ガスセットに約1秒から約3秒の持続時間にわたって、前記第1の周波数と約25Wから約75Wの第4の電力レベルの第4のRF電力、及び前記第1の周波数と前記第3の電力レベルの前記第3のRF電力を印加することによって、前記開始層の前記堆積から移行することであって、前記第3の電力レベルは前記第4の電力レベルよりも高い、前記開始層の前記堆積から移行することと、
    前記第1の処理ガスセットに約1秒から約3秒の持続時間にわたって、前記第1の周波数と前記第1の電力レベルの前記第1のRF電力、及び前記第1の周波数と約15Wから約45Wの第5の電力レベルの第5のRF電力を印加することによって、前記バルク窒化ケイ素層の前記堆積へ移行することと
    を更に含み、
    前記開始層の前記堆積から前記移行中の前記第1の窒素含有ガスの流量は、前記バルク窒化ケイ素層の前記堆積への前記移行時の前記第1の窒素含有ガスの流量よりも高い、請求項6に記載の方法。
  14. 窒化ケイ素膜を形成する方法であって、
    表面を含む基板をチャンバ内に配置することと、
    ケイ素含有ガス及び第1の窒素含有ガスを含む第1の処理ガスセットを、第1の総流量で前記チャンバ内に流すこと、
    前記第1の処理ガスセットに約1秒から約5秒の持続時間にわたって、10メガヘルツ(MHz)及び20MHzの第1の周波数と約50ワット(W)から約100Wの第1の電力レベルの第1の高周波(RF)電力を、8トール未満の前記チャンバの第1の圧力で印加することによって、前記基板の前記表面上にケイ素及び窒化物含有層を堆積させることと、
    前記第1の処理ガスセットの流れを中断することと、
    第2の窒素含有ガスを含む第2の処理ガスセットを、前記第1の総流量よりも高い第2の総流量で前記チャンバ内に流すことと、
    前記第2の処理ガスセットに約5秒から15秒の持続時間にわたって、前記第1の周波数と約80Wから約120Wの第2の電力レベルの第2のRF電力を、前記チャンバの第2の圧力で印加することによって、前記ケイ素及び窒素含有層を処理することであって、前記第2の電力レベルは前記第1の電力レベルよりも高く、前記チャンバの前記第1の圧力は前記チャンバの前記第2の圧力よりも高い、前記ケイ素及び窒素含有層を処理することと、
    所定の厚さの第1の開始層が形成されるまで、前記第1の処理ガスセットを流すことと、前記ケイ素及び窒化物含有層を堆積させることと、前記第1の処理ガスセットの流れを中断することと、前記第2の処理ガスセットを流すことと、前記ケイ素及び窒素含有層を処理することとを、繰り返すことと、
    前記第2の処理ガスセットの流れを中断して、前記ケイ素含有ガス、第3の窒素含有ガス、及び水素含有ガスを含み、二原子窒素ガスを含まない、第3の処理ガスセットを前記チャンバ内に流すことと、
    前記第3の処理ガスセットに約200から約300秒の持続時間にわたって、前記第1の周波数と前記第1の電力レベルの前記第1のRF電力を、前記チャンバの第3の圧力で印加することによって、前記第1の開始層上にバルク窒化ケイ素層を堆積させることであって、前記チャンバの前記第2の圧力は前記チャンバの前記第3の圧力よりも高い、前記第1の開始層上にバルク窒化ケイ素層を堆積させることと
    を含む方法。
  15. 前記ケイ素含有ガスは、シラン(SiH)を含み、
    前記第1の処理ガスセットを流している間に、毎分約10標準立方センチメートル(sccm)から約50sccmの流量で前記ケイ素含有ガスが流され、
    前記第1の窒素含有ガスは、アンモニア(NH)及び二原子窒素ガス(N)を含み、
    前記第1の処理ガスセットを流している間に、約750sccmから約1500sccmの流量で前記アンモニアが流され、約1000から約3000sccmの流量で前記二原子窒素ガス(N)が流され、
    前記第2の窒素含有ガスは、二原子窒素ガス(N)を含み、
    前記第2の処理ガスセットを流している間に、約10000sccmから約20000sccmの流量で前記第2の窒素含有ガスが流され、
    前記第3の窒素含有ガスは、アンモニア(NH)を含み、
    前記第3の処理ガスセットを流している間に、約100sccmから約200sccmの流量で前記第2の窒素含有ガスが流され、
    前記水素含有ガスは、二原子水素ガス(H)を含み、
    前記第3の処理ガスセットを流している間に、約3000sccmから4000sccmの流量で前記水素含有ガスが流される、請求項14に記載の方法。
JP2020526539A 2017-11-21 2018-11-01 窒化ケイ素膜のドライエッチング速度の低減 Active JP7299887B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762589432P 2017-11-21 2017-11-21
US62/589,432 2017-11-21
US16/176,267 2018-10-31
US16/176,267 US10515796B2 (en) 2017-11-21 2018-10-31 Dry etch rate reduction of silicon nitride films
PCT/US2018/058668 WO2019103819A1 (en) 2017-11-21 2018-11-01 Dry etch rate reduction of silicon nitride films

Publications (2)

Publication Number Publication Date
JP2021504939A true JP2021504939A (ja) 2021-02-15
JP7299887B2 JP7299887B2 (ja) 2023-06-28

Family

ID=66533965

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020526539A Active JP7299887B2 (ja) 2017-11-21 2018-11-01 窒化ケイ素膜のドライエッチング速度の低減

Country Status (6)

Country Link
US (1) US10515796B2 (ja)
JP (1) JP7299887B2 (ja)
KR (1) KR102623407B1 (ja)
CN (1) CN111344834B (ja)
SG (1) SG11202003615RA (ja)
WO (1) WO2019103819A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210335606A1 (en) * 2018-10-10 2021-10-28 Lam Research Corporation Continuous plasma for film deposition and surface treatment
US20200411633A1 (en) * 2019-06-26 2020-12-31 Texas Instruments Incorporated Integrated circuits including composite dielectric layer

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02115370A (ja) * 1988-10-24 1990-04-27 Nec Corp プラズマ化学気相成長方法
JPH05129285A (ja) * 1991-10-30 1993-05-25 Sony Corp 半導体装置の製造方法
JPH09115901A (ja) * 1995-10-19 1997-05-02 Sony Corp SiNx/PSG積層構造の形成方法
US20020079495A1 (en) * 1998-10-07 2002-06-27 Sung Chae Gee Thin film transistor, method of producing the same, liquid crystal display, and thin film forming apparatus
WO2006127463A2 (en) * 2005-05-26 2006-11-30 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films by using a post pecvd deposition uv cure
JP2007138301A (ja) * 1998-10-07 2007-06-07 Lg Philips Lcd Co Ltd 薄膜成膜装置
JP2007242957A (ja) * 2006-03-09 2007-09-20 Consortium For Advanced Semiconductor Materials & Related Technologies SiX系膜の形成方法
JP2011014699A (ja) * 2009-07-01 2011-01-20 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2013182951A (ja) * 2012-02-29 2013-09-12 Sumitomo Electric Device Innovations Inc 半導体装置の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6537733B2 (en) * 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
JP2006066884A (ja) * 2004-07-27 2006-03-09 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7606021B2 (en) 2007-02-26 2009-10-20 United Microelectronics Corp. Metal-insulator-metal capacitor and method for fabricating the same
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
US8394466B2 (en) * 2010-09-03 2013-03-12 Asm Japan K.K. Method of forming conformal film having si-N bonds on high-aspect ratio pattern
JP6022166B2 (ja) 2011-02-28 2016-11-09 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US8592328B2 (en) * 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
JP6129573B2 (ja) * 2013-02-13 2017-05-17 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP5852147B2 (ja) * 2014-01-23 2016-02-03 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、プログラム及び記録媒体
US9589790B2 (en) * 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
JP6086934B2 (ja) * 2015-01-14 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US10246772B2 (en) * 2015-04-01 2019-04-02 Applied Materials, Inc. Plasma enhanced chemical vapor deposition of films for improved vertical etch performance in 3D NAND memory devices
US9455138B1 (en) * 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
KR101968966B1 (ko) 2016-04-29 2019-08-13 세종대학교산학협력단 실리콘 질화막의 증착 방법 및 상기 실리콘 질화막의 증착 장치

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02115370A (ja) * 1988-10-24 1990-04-27 Nec Corp プラズマ化学気相成長方法
JPH05129285A (ja) * 1991-10-30 1993-05-25 Sony Corp 半導体装置の製造方法
JPH09115901A (ja) * 1995-10-19 1997-05-02 Sony Corp SiNx/PSG積層構造の形成方法
US20020079495A1 (en) * 1998-10-07 2002-06-27 Sung Chae Gee Thin film transistor, method of producing the same, liquid crystal display, and thin film forming apparatus
JP2007138301A (ja) * 1998-10-07 2007-06-07 Lg Philips Lcd Co Ltd 薄膜成膜装置
WO2006127463A2 (en) * 2005-05-26 2006-11-30 Applied Materials, Inc. Method to increase tensile stress of silicon nitride films by using a post pecvd deposition uv cure
JP2007242957A (ja) * 2006-03-09 2007-09-20 Consortium For Advanced Semiconductor Materials & Related Technologies SiX系膜の形成方法
JP2011014699A (ja) * 2009-07-01 2011-01-20 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP2013182951A (ja) * 2012-02-29 2013-09-12 Sumitomo Electric Device Innovations Inc 半導体装置の製造方法

Also Published As

Publication number Publication date
JP7299887B2 (ja) 2023-06-28
CN111344834B (zh) 2024-07-12
KR20200078613A (ko) 2020-07-01
KR102623407B1 (ko) 2024-01-09
CN111344834A (zh) 2020-06-26
SG11202003615RA (en) 2020-06-29
US20190157077A1 (en) 2019-05-23
WO2019103819A1 (en) 2019-05-31
US10515796B2 (en) 2019-12-24

Similar Documents

Publication Publication Date Title
KR102564160B1 (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
TWI579916B (zh) 整合可流動氧化物及頂蓋氧化物之新穎間隙填充
US8492170B2 (en) UV assisted silylation for recovery and pore sealing of damaged low K films
JP5710591B2 (ja) プロセスチャンバ壁上にシリコンコーティングを使用した残留フッ素ラジカルの除去の促進
US20100105208A1 (en) Silicon etch with passivation using chemical vapor deposition
US20080076266A1 (en) Method for forming insulation film having high density
EP1523034A2 (en) Method of manufacturing silicon carbide film
JP2005510082A (ja) 低k誘電層の形成
TW201007832A (en) Method for critical dimension shrink using conformal PECVD films
JP2011139033A (ja) ハードマスク材料
JP7254171B2 (ja) 薄型膜処理プロセス
TWI773446B (zh) 氮化矽膜之多層沉積及處理
JP7299887B2 (ja) 窒化ケイ素膜のドライエッチング速度の低減
TW201528370A (zh) 用於增加硬度及模數的低k膜之以二氧化碳及一氧化碳介入的固化
KR102599830B1 (ko) 결함 평탄화
KR20180020775A (ko) 비정질 실리콘막의 형성 방법
TWI766014B (zh) 在溝槽的側壁或平坦表面上選擇性地形成氮化矽膜之方法
KR102146543B1 (ko) 비정질 실리콘막의 형성 방법
US11538677B2 (en) Systems and methods for depositing high density and high tensile stress films
TWI842531B (zh) 氧化矽之拓撲選擇性膜形成之方法
TWI847055B (zh) 用於沉積高密度及高拉伸應力薄膜之系統及方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211101

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221122

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230209

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230606

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230616

R150 Certificate of patent or registration of utility model

Ref document number: 7299887

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150