JP2021011947A - 液体気化器 - Google Patents

液体気化器 Download PDF

Info

Publication number
JP2021011947A
JP2021011947A JP2020113807A JP2020113807A JP2021011947A JP 2021011947 A JP2021011947 A JP 2021011947A JP 2020113807 A JP2020113807 A JP 2020113807A JP 2020113807 A JP2020113807 A JP 2020113807A JP 2021011947 A JP2021011947 A JP 2021011947A
Authority
JP
Japan
Prior art keywords
pressure
process control
vaporizer
control chamber
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020113807A
Other languages
English (en)
Inventor
ジェレルド リー ウィンクラー
Jereld Lee Winkler
ジェレルド リー ウィンクラー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2021011947A publication Critical patent/JP2021011947A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4485Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation without using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Filling Or Discharging Of Gas Storage Vessels (AREA)

Abstract

【課題】反応器に反応物質蒸気を提供するように構成された、反応器および気化器を含む半導体装置を提供する。【解決手段】半導体装置は、反応器に反応物質蒸気を提供するように構成された、反応器および気化器を含む。装置は、気化器と反応器との間にプロセス制御チャンバーを含むことができる。装置は、プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、プロセス制御チャンバー内の圧力を調節するように構成された制御システムを含みうる。【選択図】図1

Description

本分野は、例えば、半導体加工装置用の液体気化器などの液体気化器に関する。
半導体加工中、さまざまな反応物質蒸気が反応チャンバーに供給される。いくつかの用途では、反応物質蒸気は反応物質源容器に気体の形態で保存される。このような用途では、反応物質蒸気は、周囲圧力および温度で気体状であることがよくある。しかしながら、一部の場合において、周囲圧力および温度で液体または固体である原料化学物質の蒸気が使用される。これらの物質を加熱して、蒸着などの反応プロセスに十分な量の蒸気を生成することができる。半導体産業用の化学蒸着(CVD)は、反応物質蒸気の連続的な流れが必要になる場合があり、原子層堆積(ALD)は、構成に応じて、連続的な流れまたはパルス供給を必要とする場合がある。両方の場合において、ドーズ量およびプロセスに対する影響を制御するために、単位時間当たりまたはパルスごとに供給される反応物質の量を一部正確に知ることが重要でありうる。
一部の固体物質および液体物質については、室温での蒸気圧が非常に低いため、十分な量の反応物質蒸気を生成するように加熱する、および/または非常に低い圧力に維持する必要がある。気化した後、反応チャンバー、ならびに弁、フィルター、導管、および気相反応物質の反応チャンバーへの供給に関連するその他の構成要素において、望ましくない凝縮を防ぐために、気相反応物質を処理システム全体にわたって蒸気形態に保持することが重要である。このような固体または液体の物質からの気相反応物質は、半導体産業(例えば、エッチング、ドーピングなど)の他のタイプの化学反応、および他のさまざまな産業に有用でありうるが、例えば、CVDまたはALDで用いられる金属および半導体前駆体にとっては特に懸念される。しかしながら、反応物質蒸気の形成および反応器への送達の改善に対する継続的な需要が残っている。
一実施形態では、半導体加工装置が開示される。装置は、反応器に反応物質蒸気を提供するように構成された、反応器および気化器を含むことができる。装置は、気化器と反応器との間にプロセス制御チャンバーを含むことができる。装置は、プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、プロセス制御チャンバー内の圧力を調節するように構成された制御システムを含みうる。
別の実施形態では、気化された反応物質を形成するための装置が開示される。装置は、反応物質源を反応物質蒸気に気化するように構成された気化器を含むことができ、気化器は、第一の温度で第一の熱ゾーン内に配置される。装置は、気化器の下流にあるプロセス制御チャンバーを含むことができ、プロセス制御チャンバーは、第一の温度よりも高い第二の温度で第二の熱ゾーン内に配置される。装置は、気化器内の第一の圧力を、第一の温度で反応物質蒸気の露点圧力以下に維持するように構成された制御システムを含むことができる。制御システムは、プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、プロセス制御チャンバー内の圧力を調節するように構成されうる。
別の実施形態では、気化された反応物質を形成する方法が開示される。方法は、反応物質源を気化器に供給することを含むことができ、気化器は第一の温度で第一の熱ゾーン内に配置される。方法は、反応物質源を気化して反応物質蒸気を形成することを含みうる。方法は、気化器内の圧力を、第一の温度で反応物質蒸気の全蒸気圧以下に維持することを含むことができる。方法は、プロセス制御チャンバーに反応物質蒸気を移送することを含むことができ、プロセス制御チャンバーは、第一の温度よりも高い第二の温度で第二の熱ゾーン内に配置される。方法は、プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、プロセス制御チャンバー内の圧力を調節することを含みうる。
別の実施形態では、気化された反応物質を形成するための装置が開示される。装置は、液体反応物質から反応物質蒸気を形成するように構成された気化器を含むことができる。装置は、気化器の下流にプロセス制御チャンバーを含むことができる。装置は、プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、プロセス制御チャンバー内の圧力を調節するように構成された制御システムを含みうる。
本発明のこれらおよび他の特徴、態様および利点を、本発明を限定するのではなく例示することを意図する、いくつかの実施形態の図面を参照して説明する。
図1は、さまざまな実施形態による半導体加工装置の概略的なシステム図である。 図2は、液体反応物質源を含む、図1の半導体加工装置の概略的なシステム図である。 図3は、別の実施形態による、液体反応物質源および不活性ガス源を含む、半導体加工装置の概略的なシステム図である。 図4は、さまざまな実施形態による、半導体加工方法を示すフローチャートである。
本明細書に開示された実施形態は、半導体加工装置で使用するための反応物質液体を気化するための、改善された液体気化器(例えば、直接液体注入気化器)に関する。本明細書に開示される実施形態は、原子層堆積(ALD)装置、化学蒸着(CVD)装置、有機金属CVD(MOCVD)装置、物理蒸着装置(PVD)などを含む、任意の好適なタイプの半導体加工装置と併せて使用することができる。
例えば、ALDは、基材上に非常に均一な薄膜を成長させるための方法である。時間分割ALD反応器では、基材は不純物のない反応空間内に置かれ、少なくとも二つの異なる前駆体(反応物質蒸気)が気相で交互にかつ反復的に反応空間内に注入される。反応物質蒸気はしたがって、一つまたは複数の前駆体および一つまたは複数の溶媒を含む、蒸気を含むことができる。膜の成長が、基材の表面上で発生する交互の表面反応に基づいて、原子または分子の固体層を形成するのは、反応物質および基材の温度が、交互に注入された気相前駆体の分子が、基材上でのみその表面層と反応するように選択されるからである。反応物質は、各注入サイクル中に表面が実際に飽和するのに十分高いドーズ量で注入される。したがって、プロセスは、出発物質の濃度に依存せず、高度に自己調節的であり、それによって、極めて高い膜均一性および単一の原子または分子層の厚さ精度を達成することが可能である。同様の結果が空間分割ALD反応器で得られ、基材は異なる反応物質に交互に曝露されるゾーンに移動される。反応物質は、成長する膜(前駆体)に寄与し、および/または前駆体の吸着種からリガンドを除去して、後続の反応物質の反応または吸着を促進するなどの他の機能を果たすことができる。
ALD方法は、元素薄膜および化合物薄膜の両方の成長に使用することができる。ALDは、サイクルで繰り返される代替の二つ以上の反応物質を含むことができ、異なるサイクルは、異なる数の反応物質を有することができる。純粋なALD反応は、サイクルごとに単層よりも少なく生成する傾向があるが、ALDの変形はサイクルごとに単層以上を堆積しうる。
ALD法を用いて膜を成長させることは、そのステップごとの(層ごとの)性質のため、プロセスが遅くなる可能性がある。少なくとも二つのガスパルスを交互にして、所望の材料の一つの層を形成し、パルスは、膜の制御されていない成長およびALD反応器の汚染を防止するために、相互に分離されたままである。各パルスの後、薄膜成長プロセスの気体反応生成物ならびに気相の過剰反応物質は、反応空間から除去されるか、または基材がそれを含むゾーンから除去される。時間分割された例では、これは、反応空間をポンプダウンすることによって、連続するパルス間の不活性ガス流で反応空間をパージすることによって、またはその両方によって達成されうる。パージは、反応物質パルス間の導管内に不活性ガスのカラムを用いる。パージは、その効率および連続パルス間に効果的な拡散バリアを形成するその能力のために、生産規模で広く用いられる。通常、不活性パージガスは反応物質パルス中にキャリアガスとしても使用され、反応空間内に供給される前に反応物質蒸気を希釈する。
ALDプロセスを成功させるには、十分な基材の曝露および反応空間の良好なパージが望ましい。すなわち、パルスは、基材が実際に飽和するのに十分な強さであるべきであり(飽和漸近曲線の平坦部分で)、パージは、反応器からすべての前駆体残留物および望ましくない反応生成物を、実際に除去するのに十分に効率的であるべきである。パージ時間は、前駆体曝露時間に対して比較的長くすることができる。
上述のように、液体前駆体(または前駆体溶媒混合物)を、液体注入気化器などの気化器内で蒸発させて、反応器または反応チャンバーに送達される反応物質蒸気を形成することができる。しかし、一部の装置では、気化器と反応チャンバーとの間のシステムの部分で、圧力および温度は変化しうる。プロセス制御チャンバー内の温度および/または圧力(または気化器と反応チャンバーとの間の経路に沿った他のそのような変動)の変動により、気化された反応物質が凝縮して液体の小滴になる場合がある。反応チャンバー上流の反応物質蒸気の凝縮により、反応チャンバー内に液滴が存在する場合があり、これは処理された基材に欠陥を引き起こし(例えば、処理されたウエハ)、処理の歩留まりを低下させる可能性がある。
さらに、さまざまな半導体加工装置では、気化器は、不活性ガスをサイクル間の気化器に供給することによって、反応物質ガスをパージする。一部の装置では、気化器は大容量を有する場合があり、そのような大容量をパージする時間は、スループットを大幅に低下させる可能性がある。一部の加工装置では、パルス当たりの不適切な流量制御から生じる大きな圧力変動により、過剰粒子が反応チャンバー内に作成されうる。さらに、反応器の上流にフィルターを配置することが難しい場合があり、これは反応チャンバーおよび基材への液滴の移動につながりうる。
図1は、さまざまな実施形態による半導体加工装置1の概略的なシステム図である。図2は、液体反応物質を液体気化器10に供給する液体反応物質源3を含む、図1の半導体加工装置の概略的なシステム図である。液体気化器10は、気化された反応物質をプロセス制御チャンバー20に供給することができ、これは、反応物質アセンブリ、または反応器21への供給のために反応物質が蒸気形態のままであることを確実にするように構成される。液体反応物質は、液体前駆体、または液体前駆体(例えば、ジルコニウム有機金属、またはジルコニウムアミノアルコキシドなどのZr MO、例えば、dmaeがジメチルアミノエトキシド[OCH▼2▽CH▼2▽N(CH▼3▽)▼2▽]である、Zr(dmae)▼4▽、Zr(dmae)▼2▽(OtBu)▼2▽、およびZr(dmae)▼2▽(OiPr)▼2▽)と溶媒(例えば、オクタン)との混合物を含みうる。液体反応物質源3は、液体反応物質を液体入ライン7に沿って気化器10に供給することができる。液体マスフローコントローラ(液体MFC)2は、液体注入ライン7に沿った液体反応物質の流れを制御または計量するために提供されうる。第一の弁11は、液体反応物質の気化器10への流れ(例えば、圧力および/または流量)を調節することができる。第一の弁11は、任意の適切なタイプの弁を備えることができる。例えば、さまざまな実施形態では、第一の弁11は、液体注入ライン7を通る流量を調節するための複数の流れコンダクタンス設定を有する調節可能な弁を備えることができる。
アトマイザーまたはインジェクター5を液体注入ライン7に沿って提供し、液体反応物質を高速スプレーに霧化して、気化器10に送達することができる。本明細書で説明したように、気化器10の圧力および温度は、注入された液体反応物質が反応物質蒸気に蒸発するように制御されうる。反応物質蒸気を、第一の反応物質蒸気供給ライン8に沿ってフィルター4に供給することができる。フィルターは、気化または凝縮が不完全なために存在する液滴を捕捉および蒸発させるように構成されうる。
さまざまな実施形態では、本明細書に開示されるように、反応物質と気化した溶媒との混合物でありうる反応物質蒸気は、別個の不活性キャリアガス供給を使用することなく、第一の供給ライン8に沿って供給されうる。別個の不活性ガス源を省略して、反応物質蒸気を第一の供給ライン8を通して運ぶことで、装置1に関連する費用および複雑さを有益に低減することができる。さらに、上述のように、気化器10の容積は大きくてもよく、その結果、気化器10の繰り返しのパージはスループットを減少させる。例示された実施形態では、液体反応物質と共に供給される溶媒蒸気は、反応物質を運び、気化器10から反応物質蒸気の一部を形成するように機能することができ、それにより、気化器10への別個のキャリアガス供給の必要性をなくすことができる。
プロセス制御チャンバー20は、気化器10と反応器21との間に配置されうる。プロセス制御チャンバー20は、第二の反応物質蒸気供給ライン9に沿って反応器21に供給される、反応物質蒸気の量を計量または制御することができる。したがって、プロセス制御チャンバー20は、反応器21へのパルス送達のパルス幅およびタイミングを制御するように構成されうる。
第二の弁12は、プロセス制御チャンバー20の上流に配置することができる。図示した実施形態では、第二の弁12は、フィルター4とプロセス制御チャンバー20との間に配置されうる。その他の実施形態では、第二の弁12は、フィルター4と気化器10との間に配置されうる。第二の弁12は、気化された反応物質の流れコンダクタンスを制御する調節可能な弁を備えうる。第三の弁13は、例えば、プロセス制御チャンバー20と反応器21との間など、プロセス制御チャンバー20の下流に配置されてもよい。第三の弁13は、一部の実施形態では、流れコンダクタンスを制御するための調節可能な弁を備えることができる。他の実施形態では、他のタイプの弁が適している場合がある。
第二の反応物質蒸気供給ライン9は、反応物質蒸気を反応器21の吸気マニホールド18に供給することができる。吸気マニホールド18は、反応器21の反応チャンバー30に反応物質蒸気を供給することができる。示されるようなシャワーヘッドなどの分散装置35、または他の実施形態での水平注入装置は、複数の開口部19と流体連通するプレナム32を含みうる。反応物質蒸気は開口部19を通過し、反応チャンバー30内に供給されうる。基材支持体22は、反応チャンバー30内のウエハなどの基材36を支持するように、構成されても、またはサイズ設定および形作られてもよい。分散反応物質蒸気は基材に接触し、反応して、基材上に層(例えば、単層など)を形成することができる。分散装置35は、基材上に均一な層を形成するように、反応物質蒸気を分散させうる。
排気ライン23は、反応チャンバー30と流体連通することができる。真空ポンプ24は、排気ライン23に吸引を適用して、反応チャンバー30から蒸気および過剰材料を排出することができる。反応器21は、原子層堆積(ALD)装置、化学蒸着(CVD)装置などの任意の好適なタイプの半導体反応器を備えることができる。
図1および図2の実施形態では、第一の圧力変換器14は、第一の変換器ライン15によって気化器10内の圧力を監視できる。第二の圧力変換器16は、第二の変換器ライン17によってプロセス制御チャンバー20内の圧力を監視できる。第一のフィードバック回路25は、第一の圧力変換器14を第一の弁11と電気的に接続することができる。第二のフィードバック回路26は、第二の変換器16を第二の弁12と電気的に接続することができる。制御システム34は、装置1のさまざまな構成要素の動作を制御することができる。制御システム34は、第一の弁11、第二の弁12、第一の圧力変換器14、第二の変換器16、第三の弁13、反応器21(その中のさまざまな構成要素)、および真空ポンプ24のうちの一つまたは複数の動作を制御するように構成された処理電子機器を備えることができる。
図2に単一構造として図示されているが、制御システム34は、装置1のさまざまな構成要素の動作を制御する、プロセッサ、メモリ装置、およびその他の電子部品を有する、複数のコントローラまたはサブシステムを含みうることが理解されるべきである。制御システム(またはコントローラ)という用語は、他の装置(弁、センサなど)と統合または接続されうる、個々のコントローラ装置および処理電子機器の任意の組み合わせを含む。したがって、いくつかの実施形態では、制御システム34は、複数(またはすべて)のシステム構成要素の動作を制御する、集中コントローラを含むことができる。いくつかの実施形態では、制御システム34は、一つまたは複数のシステム構成要素の動作を制御する、複数の分散コントローラを備えることができる。
上述のように、不十分な気化または凝縮は、反応チャンバー30内の膜成長に変形をもたらす可能性があり、これは歩留まりを低下させうる。さらに、いくつかの加工装置は、反応チャンバー30への液体の送達につながりうる、介在するプロセス制御チャンバーまたは弁配置なしに、気化器から反応器へ反応物質蒸気を送達することができる。有益なことに、図1および図2の実施形態は、気化器10内およびプロセス制御チャンバー20内の測定された圧力のフィードバック制御を含みうる。
図1に示すように、装置1は、第一の温度で維持される第一の熱ゾーン27および第二の温度で維持される第二の熱ゾーン28を含みうる。さまざまな実施形態では、第二の熱ゾーン28の第二の温度は、第一の熱ゾーン27の第一の温度よりも高くてもよい。さまざまな実施形態では、例えば、第二の温度は、5°C〜50°Cの範囲、5°C〜35°Cの範囲、または10°C〜25°Cの範囲の温度差で、第一の温度よりも高くてもよい。第一の熱ゾーン27は気化器10を含むことができる。第二の熱ゾーン28は、第二の熱ゾーン28内の構成要素を接続する供給ラインと共に、フィルター4、第二の弁12、プロセス制御チャンバー20、および第三の弁9を備えることができる。熱ゾーン27、28が分離されている場合、ゾーン間の供給ライン8の部分にヒータージャケットを提供して、第一の熱ゾーン27の温度以上に線を維持することができる。
加熱された第二の熱ゾーン28内にフィルター4を配置することで、フィルター4を通して送達されうる、液滴の捕捉および蒸発を有益に向上させることができる。高温フィルター4は、別個の液滴サイズ制御機構(例えば、高流動不活性ガス注入)またはフラッシュ非接触注入の使用をなくすことができる。さらに、異なる温度にある加熱ゾーンに気化器10およびプロセス制御チャンバー20を配置することで、装置1が反応器プロセスパラメータを微調整できるようになる。例えば、第一および第二の弁11、12は、所望の処理反応器パラメータを得るために、反応器への溶媒および前駆体の流量を増加または減少させるように、制御システム34によって調整されうる。
例えば、気化器10の第一の圧力設定値は、特定の反応物質−溶媒の混合物、第一の熱ゾーン27の温度、気化器10の容積、気化器10を通る流量、および気化器10の温度での反応物質の露点圧力(例えば、本明細書で使用される場合、反応物質が蒸気形態で残る概算最大圧力)に少なくとも部分的に基づいて計算することができる。計算された第一の圧力設定値は、気化器10内の圧力の上限を設定することができ、制御システム34に入力することができる。第一の圧力変換器14は、気化器10内の圧力を監視することができ、測定された圧力を第一のフィードバック回路25および/または制御システム34に沿って第一の弁11に返還することができる。フィードバック回路25および/または制御システム34は、任意の適切な閉ループ制御技術を使用して、気化器10内の圧力を第一の圧力設定値以下に維持することができる。例えば、制御システム34は、測定された圧力と第一の圧力設定値との差を計算できる。計算された差異に基づいて、制御システム34は、制御信号を第一の弁11に送信して、弁11の流れコンダクタンス設定を調整し、気化器10の圧力を調整して、圧力を第一の温度で反応物質露点圧力以下に維持することができる。
弁11(または弁12)に対する圧力設定値を決定する一例として、反応物質と溶媒の混合物の比重を計算することができる。第一の例では、50%のジルコニウム有機金属(ZrMO)(例えば、ジルコニウムアミノアルコキシド)を反応物質として、および50%のオクタンを溶媒として利用する混合物の場合、比重は約0.961とすることができる。この例では、混合物の流量は約0.00133g−液体/ミリ秒とすることができる。第一の熱ゾーン27における設定温度が150℃の場合、ZrMOの対応する蒸気圧は、約45トールでありうる。0.5Lの容積を有する気化器10の対応する総蒸気圧は約159トールであり、これは第一の弁11の第一の圧力設定値でありうる。圧力設定値は、混合物の組成およびプロセスパラメータによって、勿論変化する。第一の例と同様に、プロセスパラメータを有する第二の例として、20%のZrMO反応物質と80%のオクタン溶媒との混合物は、約500トールの総蒸気圧を有する。
同様に、プロセス制御チャンバー20の第二の圧力設定値は、反応物質−溶媒の混合物、第二の熱ゾーン28の温度、プロセス制御チャンバー20の容積、プロセス制御チャンバー20を通る流量、およびプロセス制御チャンバー20の温度での反応物質の公知の露点圧力に少なくとも部分的に基づいて計算することができる。計算された第二の圧力設定値は、プロセス制御チャンバー20内の圧力の上限を設定することができ、制御システム34に入力することができる。第二の圧力変換器16は、プロセス制御チャンバー20内の圧力を監視することができ、測定された圧力を第二のフィードバック回路26および/または制御システム34に沿って第二の弁12に返還することができる。第二のフィードバック回路26および/または制御システム34は、任意の適切な閉ループ制御技術を使用して、プロセス制御チャンバー20内の圧力を第二の圧力設定値以下に維持することができる。例えば、制御システム34は、測定された圧力と第二の圧力設定値との差を計算できる。計算された差異に基づいて、制御システム34は、制御信号を第二の弁12に送信して、弁12の流れコンダクタンス設定を調整し、プロセス制御チャンバー20の圧力を調整して、圧力を第二の温度で反応物質露点圧力以下に維持することができる。
したがって、弁11、12、圧力変換器14、16、およびフィードバック回路25、26は、気化器10およびプロセス制御チャンバー20のそれぞれの圧力を正確に制御して、凝縮および不適切な気化を防止できる。さらに、二つのフィードバック回路25、26は、異なる温度で維持された二つの熱ゾーン27、28に対して提供されているため、装置1は反応器処理パラメータおよび反応物質の流量を微調整することができる。例えば、いくつかの実施形態では、制御システム34は、プロセス制御チャンバー20の上流の反応物質蒸気の圧力を下げるように構成されうる。第二の熱ゾーン28の第二の温度は、第一の熱ゾーン27の第一の温度よりも高くてもよいため、反応物質蒸気は低圧でも凝縮しない一方、圧力を下げると反応器への反応物質ガスのドーズ量の調整を助け、反応プロセスを安定化させることができる。他の実施形態では、制御システム34は、反応処理パラメータを調整するために、プロセス制御チャンバー20の上流の圧力を上げるか、さもなければ調整するように構成されうる。
図3は、液体反応物質源3および不活性ガス源29を含む、図1の半導体加工装置の概略的なシステム図である。特に記載がない限り、図3の構成要素は、図1および図2の同様の番号付き構成要素と一般的に類似していてもよい。反応物質源3のみが存在する図1および図2の実施形態とは異なり、図3では、装置1は、不活性ガスライン33に沿って気化器10のインジェクター5に不活性キャリアガスを供給することができる。示されるように、ガスマスフローコントローラ(MFC)6は、不活性ガスライン33に沿ったガスの供給を計量できる。第四の弁31は、不活性ガスライン33に沿って設けられ、不活性ガスの気化器10への流れを調整しうる。第四の弁31は、いくつかの実施形態では、複数の流れコンダクタンス設定を有する調節可能な弁を備えることができる。他の実施形態では、第四の弁31は、弁31が不活性ガス線33に沿った不活性ガスの流れを許可または遮断する、バイナリーのオン/オフ弁を含むことができる。図3の実施形態では、不活性ガスは、反応物質蒸気を反応器21に供給するのを支援することができる。例えば、不活性ガスは、インジェクター5内の反応物質液体を霧化するのを助けることができ、これは気化の効率を改善する。
図4は、さまざまな実施形態による、半導体加工方法40を示すフローチャートである。方法40は、液体反応物質が気化器に供給されるブロック41で開始することができる。気化器は、第一の温度で第一の熱ゾーン内に配置されうる。ブロック42を回転させることで、反応物質を気化器内で気化して、反応物質蒸気を形成することができる。図示した直接液体注入の実施形態の場合、気化は霧化ならびに加熱を含みうる。例えば、霧化は、高速の不活性ガス流と混合しながら霧化する非接触インジェクターを介してもよく、一方で霧化またはエアロゾル化された反応物質の気化は、気化器に熱エネルギーを加えてその温度を上昇させる、一つまたは複数のヒーター(例えば、放射ヒーター)によって援助されうる。
ブロック43では、気化器内の圧力を、第一の温度で、反応物質蒸気(任意の溶媒を含む)の露点圧力以下に維持することができる。本明細書で説明したように、さまざまな実施形態では、第一の弁は気化器の上流に配置されうる。第一の圧力変換器は、気化器と流体連通することができる。第一のフィードバック制御回路は、第一の圧力変換器および第一の弁を電気的に接続することができる。第一のフィードバック制御回路は、凝縮および不完全な気化を防止するために、圧力が圧力設定値より低いことを確実にすることができる。
ブロック44を回転させることにより、反応物質蒸気を、気化器の下流のプロセス制御チャンバーに移送することができる。プロセス制御チャンバーは、第一の温度よりも高い第二の温度で第二の熱ゾーン内に配置されうる。プロセス制御チャンバーは、プロセス制御チャンバーの下流に配置することができる、反応器への反応物質蒸気の供給(またはパルス)を計量することができる。
ブロック45では、プロセス制御チャンバー内の圧力は、プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、調節されうる。反応物質蒸気の蒸気状態を維持するために、プロセス制御チャンバー内の圧力を、第二の温度で反応物質蒸気(任意の溶媒を含む)の最大圧力以下に維持することができる。本明細書で説明したように、さまざまな実施形態では、第二の弁はプロセス制御チャンバーの上流に配置されうる。第二の圧力変換器は、プロセス制御チャンバーと流体連通することができる。第二のフィードバック制御回路は、第二の圧力変換器および第二の弁を電気的に接続することができる。第二のフィードバック制御回路は、凝縮および不完全な気化を防止するために、圧力が圧力設定値より低いことを確実にすることができる。さらに、いくつかの実施形態では、プロセス制御チャンバーの上流の圧力設定値は、反応器プロセスのプロセスパラメータを調整するために、気化器から降圧することができる。
明確化および理解の目的のために図示および実施例によって詳細に前述されているが、特定の変更および修正を実施することができることは当業者には明らかである。したがって、説明および実施例は、本発明の範囲を本明細書に記載される特定の実施形態および実施例に限定するものとして解釈されるべきではなく、むしろ開示された実施形態の真の範囲および精神を備えたすべての修正および代替物も包含するものである。さらに、本明細書で上述した特徴、態様および利点のすべてが、本実施形態を実施するために必ずしも必要とされるわけではない。

Claims (34)

  1. 半導体加工装置であって、
    反応器と、
    前記反応器に反応物質蒸気を提供するように構成された気化器と、
    前記気化器と前記反応器との間のプロセス制御チャンバーと、
    前記プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、前記プロセス制御チャンバー内の圧力を調節するように構成された制御システムと、を備える、半導体加工装置。
  2. 前記制御システムは、前記気化器内の圧力を、前記反応物質蒸気の露点圧力以下に維持するように構成される、請求項1に記載の装置。
  3. 前記気化器と流体連通する第一の圧力変換器をさらに備え、前記制御システムが、前記第一の圧力変換器によって得られた一つまたは複数の圧力測定値からのフィードバックに少なくとも部分的に基づいて、前記気化器内の前記圧力を維持するように構成された処理電子機器を備える、請求項2に記載の装置。
  4. 前記気化器の上流にあり、前記第一の圧力変換器と電気通信する第一の弁をさらに備え、前記第一の弁が前記気化器内の前記圧力を調節するように構成される、請求項3に記載の装置。
  5. 前記反応物質蒸気が気化した溶媒を含む、請求項2に記載の装置。
  6. 前記プロセス制御チャンバーと流体連通する第二の圧力変換器をさらに備え、前記制御システムが、前記プロセス制御チャンバー内の前記圧力を調節し、前記反応物質蒸気の露点圧力以下で前記プロセス制御チャンバー内に前記圧力を維持するように構成された処理電子機器を備える、請求項1に記載の装置。
  7. 前記制御システムが、前記第二の圧力変換器によって得られた一つまたは複数の圧力測定値からのフィードバックに少なくとも部分的に基づいて、前記プロセス制御チャンバー内の圧力を調節するように構成される、請求項6に記載の装置。
  8. 前記プロセス制御チャンバーの上流に第二の弁をさらに備え、前記第二の弁が前記プロセス制御チャンバーの前記圧力を調節するように構成される、請求項7に記載の装置。
  9. 第二の弁の上流にフィルターをさらに備える、請求項1に記載の装置。
  10. 前記気化器が、第一の温度で第一の熱ゾーン内に配置され、前記プロセス制御チャンバーが第二の温度で第二の熱ゾーン内に配置され、前記第二の温度が前記第一の温度よりも高い、請求項1に記載の装置。
  11. 前記プロセス制御チャンバーと前記反応器との間に第三の弁をさらに備え、前記第三の弁が前記反応器への前記反応物質蒸気の流れを調節するよう構成される、請求項1に記載の装置。
  12. 前記気化器が、不活性ガス供給ラインに接続されていない、請求項1に記載の装置。
  13. 液体反応物質を前記気化器に運ぶ、液体反応物質源をさらに含む、請求項1に記載の装置。
  14. 前記気化器の上流にアトマイザーをさらに備える、請求項13に記載の装置。
  15. 前記気化器への前記液体反応物質の流れを計量する液体マスフローコントローラ(MFC)をさらに備える、請求項14に記載の装置。
  16. 気化された反応物質を形成するための装置であって、
    反応物質源を反応物質蒸気に気化するように構成された気化器であって、第一の温度で第一の熱ゾーン内に配置される、気化器と、
    前記気化器の下流にあるプロセス制御チャンバーであって、前記第一の温度よりも高い第二の温度で第二の熱ゾーン内に配置される、プロセス制御チャンバーと、
    制御システムであって、
    前記気化器内の第一の圧力を、前記第一の温度で前記反応物質蒸気の露点圧力以下に維持するように、
    前記プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、前記プロセス制御チャンバー内の圧力を調節するように、構成された制御システムと、を備える、気化した反応物質を形成するための装置。
  17. 前記プロセス制御チャンバーの下流に反応器をさらに含む、請求項16に記載の装置。
  18. 前記反応物質源が、前記プロセス制御チャンバーに液体反応物質を運ぶ、液体反応物質源を備える、請求項16に記載の装置。
  19. 前記制御システムは、前記第二の温度で、前記プロセス制御チャンバー内の前記圧力を、前記反応物質蒸気の露点圧力以下に維持するように構成される、請求項16に記載の装置。
  20. 前記気化器の上流の第一の弁、前記気化器と流体連通する第一の圧力変換器、および前記第一の圧力変換器および前記第一の弁と電気通信する第一のフィードバック回路をさらに備える、請求項16に記載の装置。
  21. 前記プロセス制御チャンバーの上流に第二の弁、前記プロセス制御チャンバーと流体連通する第二の圧力変換器、および前記第二の圧力変換器および前記第二の弁と電気通信する第二のフィードバック回路をさらに備える、請求項16に記載の装置。
  22. 前記プロセス制御チャンバーの上流にフィルターをさらに備え、前記フィルターが前記第二の熱ゾーン内に配置される、請求項16に記載の装置。
  23. 前記気化器の上流にアトマイザーをさらに備える、請求項16に記載の装置。
  24. 前記気化器への液体反応物質の流れを計量する液体マスフローコントローラ(MFC)をさらに備える、請求項23に記載の装置。
  25. 気化された反応物質を形成する方法であって、
    反応物質源を気化器に供給することであって、前記気化器が第一の温度で第一の熱ゾーン内に配置される、供給することと、
    前記反応物質源を気化して反応物質蒸気を形成することと、
    前記気化器内の圧力を、前記第一の温度で前記反応物質蒸気の全蒸気圧以下に維持することと、
    プロセス制御チャンバーに前記反応物質蒸気を移送することであって、前記プロセス制御チャンバーは、前記第一の温度よりも高い第二の温度で第二の熱ゾーン内に配置される、移送することと、
    前記プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、前記プロセス制御チャンバー内の圧力を調節することと、を含む、気化された反応物質を形成する方法。
  26. 前記第二の温度で、前記プロセス制御チャンバー内の前記圧力を、前記反応物質蒸気の露点圧力以下に維持することをさらに含む、請求項25に記載の方法。
  27. 前記反応物質蒸気を前記プロセス制御チャンバーから半導体加工チャンバーにパルスすることをさらに含む、請求項25に記載の方法。
  28. 気化された反応物質を形成するための装置であって、
    液体反応物質から反応物質蒸気を形成するように構成された気化器と、
    前記気化器の下流にプロセス制御チャンバーと、
    前記プロセス制御チャンバー内の測定された圧力のフィードバックに少なくとも部分的に基づいて、前記プロセス制御チャンバー内の圧力を調節するように構成された制御システムと、を備える、気化した反応物質を形成するための装置。
  29. 前記制御システムは、前記気化器内の圧力を、前記反応物質蒸気の露点圧力以下に維持するように構成される、請求項28に記載の装置。
  30. 前記気化器と流体連通する第一の圧力変換器をさらに備え、前記制御システムが、前記第一の圧力変換器によって得られた一つまたは複数の圧力測定値からのフィードバックに少なくとも部分的に基づいて、前記気化器内の前記圧力を維持するように構成された処理電子機器を備える、請求項29に記載の装置。
  31. 前記気化器の上流にあり、前記第一の圧力変換器と電気通信する第一の弁をさらに備え、前記第一の弁が前記気化器内の前記圧力を調節するように構成される、請求項30に記載の装置。
  32. 前記プロセス制御チャンバーと流体連通する第二の圧力変換器をさらに備え、前記制御システムが、前記プロセス制御チャンバー内の前記圧力を調節し、前記反応物質蒸気の露点圧力以下で前記プロセス制御チャンバー内に前記圧力を維持するように構成された処理電子機器を備える、請求項28に記載の装置。
  33. 前記制御システムが、前記第二の圧力変換器によって得られた一つまたは複数の圧力測定値からのフィードバックに少なくとも部分的に基づいて、前記プロセス制御チャンバー内の圧力を調節するように構成される、請求項32に記載の装置。
  34. 前記プロセス制御チャンバーの上流に第二の弁をさらに備え、前記第二の弁が前記プロセス制御チャンバーの前記圧力を調節するように構成される、請求項33に記載の装置。
JP2020113807A 2019-07-05 2020-07-01 液体気化器 Pending JP2021011947A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962871036P 2019-07-05 2019-07-05
US62/871,036 2019-07-05

Publications (1)

Publication Number Publication Date
JP2021011947A true JP2021011947A (ja) 2021-02-04

Family

ID=73919970

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020113807A Pending JP2021011947A (ja) 2019-07-05 2020-07-01 液体気化器

Country Status (5)

Country Link
US (2) US11788190B2 (ja)
JP (1) JP2021011947A (ja)
KR (1) KR20210005523A (ja)
CN (1) CN112176317A (ja)
TW (1) TW202117048A (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
KR20220122917A (ko) * 2021-02-26 2022-09-05 (주)지오엘리먼트 넓은 가용 온도 범위를 가지는 고순도 전구체 기화 시스템
WO2023277920A1 (en) * 2021-07-01 2023-01-05 Applied Materials, Inc. System and method for delivering precursor to a process chamber
CN115323360B (zh) * 2022-10-17 2023-03-24 上海星原驰半导体有限公司 前驱体输出系统及前驱体输出方法

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4511593A (en) 1983-01-17 1985-04-16 Multi-Arc Vacuum Systems Inc. Vapor deposition apparatus and method
US4859375A (en) 1986-12-29 1989-08-22 Air Products And Chemicals, Inc. Chemical refill system
US5014211A (en) 1989-06-16 1991-05-07 Diversey Corporation Microprocessor controlled liquid chemical delivery system and method
DE3920835C2 (de) 1989-06-24 1997-12-18 Leybold Ag Einrichtung zum Beschichten von Substraten
US5227340A (en) 1990-02-05 1993-07-13 Motorola, Inc. Process for fabricating semiconductor devices using a solid reactant source
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5362328A (en) 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
JPH0692558A (ja) 1990-09-28 1994-04-05 Otis Elevator Co 発進時の揺れ及び過剰加速を低減するエレベータの発進制御装置
US5282899A (en) 1992-06-10 1994-02-01 Ruxam, Inc. Apparatus for the production of a dissociated atomic particle flow
JP2000252269A (ja) 1992-09-21 2000-09-14 Mitsubishi Electric Corp 液体気化装置及び液体気化方法
US5551309A (en) 1995-01-17 1996-09-03 Olin Corporation Computer-controlled chemical dispensing with alternative operating modes
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5702532A (en) 1995-05-31 1997-12-30 Hughes Aircraft Company MOCVD reactor system for indium antimonide epitaxial material
TW322602B (ja) 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6083567A (en) 1996-08-30 2000-07-04 University Of Maryland, Baltimore County Sequential ion implantation and deposition (SIID) technique
US5763006A (en) 1996-10-04 1998-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method and apparatus for automatic purge of HMDS vapor piping
AU729655B2 (en) 1996-11-12 2001-02-08 Regents Of The University Of California, The Preparation of stable formulations of lipid-nucleic acid complexes for efficient in vivo delivery
US6210707B1 (en) 1996-11-12 2001-04-03 The Regents Of The University Of California Methods of forming protein-linked lipidic microparticles, and compositions thereof
DE69730576T2 (de) 1996-12-04 2005-02-03 Sumitomo Electric Industries, Ltd. Beschichtetes Werkzeug und Verfahren zu seiner Herstellung
US6074487A (en) 1997-02-13 2000-06-13 Shimadzu Corporation Unit for vaporizing liquid materials
US6419462B1 (en) 1997-02-24 2002-07-16 Ebara Corporation Positive displacement type liquid-delivery apparatus
US6409839B1 (en) 1997-06-02 2002-06-25 Msp Corporation Method and apparatus for vapor generation and film deposition
US6199599B1 (en) 1997-07-11 2001-03-13 Advanced Delivery & Chemical Systems Ltd. Chemical delivery system having purge system utilizing multiple purge techniques
US6296026B1 (en) 1997-06-26 2001-10-02 Advanced Technology Materials, Inc. Chemical delivery system having purge system utilizing multiple purge techniques
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
US6258170B1 (en) 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
JPH11111644A (ja) 1997-09-30 1999-04-23 Japan Pionics Co Ltd 気化供給装置
US5964230A (en) 1997-10-06 1999-10-12 Air Products And Chemicals, Inc. Solvent purge mechanism
US6358323B1 (en) 1998-07-21 2002-03-19 Applied Materials, Inc. Method and apparatus for improved control of process and purge material in a substrate processing system
US6216708B1 (en) 1998-07-23 2001-04-17 Micron Technology, Inc. On-line cleaning method for CVD vaporizers
US6261374B1 (en) 1998-09-29 2001-07-17 Applied Materials, Inc. Clog resistant gas delivery system
US6503564B1 (en) 1999-02-26 2003-01-07 3M Innovative Properties Company Method of coating microstructured substrates with polymeric layer(s), allowing preservation of surface feature profile
US6176930B1 (en) 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
US6471782B1 (en) 1999-11-23 2002-10-29 Tokyo Electronic Limited Precursor deposition using ultrasonic nebulizer
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
JP3582437B2 (ja) 1999-12-24 2004-10-27 株式会社村田製作所 薄膜製造方法及びそれに用いる薄膜製造装置
DE10002876A1 (de) 2000-01-24 2001-07-26 Bayer Ag Neue Aminosilylborylalkane, ihre Herstellung und Verwendung
US6596085B1 (en) 2000-02-01 2003-07-22 Applied Materials, Inc. Methods and apparatus for improved vaporization of deposition material in a substrate processing system
DE10005820C1 (de) 2000-02-10 2001-08-02 Schott Glas Gasversorungsvorrichtung für Precursoren geringen Dampfdrucks
JP2001284340A (ja) 2000-03-30 2001-10-12 Hitachi Kokusai Electric Inc 半導体製造装置および半導体装置の製造方法
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
US6604555B2 (en) 2000-08-04 2003-08-12 Arch Specialty Chemicals, Inc. Automatic refill system for ultra pure or contamination sensitive chemicals
EP1309820B1 (en) 2000-08-04 2007-09-26 FujiFilm Electronic Materials USA, Inc. Automatic refill system for ultra pure or contamination sensitive chemicals
US7163197B2 (en) 2000-09-26 2007-01-16 Shimadzu Corporation Liquid substance supply device for vaporizing system, vaporizer, and vaporization performance appraisal method
US20020062789A1 (en) * 2000-11-29 2002-05-30 Tue Nguyen Apparatus and method for multi-layer deposition
US7334708B2 (en) 2001-07-16 2008-02-26 L'air Liquide, Societe Anonyme A Directoire Et Conseil De Surveillance Pour L'etude Et L'exploitation Des Procedes Georges Claude Integral blocks, chemical delivery systems and methods for delivering an ultrapure chemical
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
JP2003158122A (ja) 2001-09-04 2003-05-30 Japan Pionics Co Ltd 気化供給方法
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
JP4157040B2 (ja) * 2001-12-03 2008-09-24 株式会社アルバック 混合器、薄膜製造装置及び薄膜製造方法
US6953047B2 (en) 2002-01-14 2005-10-11 Air Products And Chemicals, Inc. Cabinet for chemical delivery with solvent purging
KR100979575B1 (ko) 2002-01-17 2010-09-01 썬듀 테크놀로지스 엘엘씨 원자층 침착 장치 및 이의 제조방법
US6787185B2 (en) 2002-02-25 2004-09-07 Micron Technology, Inc. Deposition methods for improved delivery of metastable species
US20030211736A1 (en) * 2002-05-07 2003-11-13 Tokyo Electron Limited Method for depositing tantalum silicide films by thermal chemical vapor deposition
CN100469943C (zh) 2002-05-24 2009-03-18 肖特股份公司 用于工件处理的装置和方法
EP1523763A4 (en) 2002-07-18 2008-12-24 Aviza Tech Inc MOLECULAR LAYER DEPOSITION OF THIN FILMS WITH MIXED COMPONENTS
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
JP4585852B2 (ja) 2002-07-30 2010-11-24 エーエスエム アメリカ インコーポレイテッド 基板処理システム、基板処理方法及び昇華装置
KR100480914B1 (ko) 2002-08-05 2005-04-07 주식회사 하이닉스반도체 반도체소자의 캐패시터 제조방법
US7192486B2 (en) 2002-08-15 2007-03-20 Applied Materials, Inc. Clog-resistant gas delivery system
GB0219415D0 (en) 2002-08-20 2002-09-25 Air Prod & Chem Process and apparatus for cryogenic separation process
JP4352783B2 (ja) * 2002-08-23 2009-10-28 東京エレクトロン株式会社 ガス供給系及び処理システム
KR100473806B1 (ko) 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
US20040163590A1 (en) 2003-02-24 2004-08-26 Applied Materials, Inc. In-situ health check of liquid injection vaporizer
JP4734231B2 (ja) 2003-03-14 2011-07-27 アイクストロン・インコーポレーテッド 原子層堆積のサイクル時間改善のための方法と装置
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
WO2005010427A1 (ja) 2003-07-25 2005-02-03 Toyota Jidosha Kabushiki Kaisha ガス供給装置
US7156380B2 (en) 2003-09-29 2007-01-02 Asm International, N.V. Safe liquid source containers
CN1894763B (zh) * 2003-12-12 2010-12-08 山米奎普公司 用于在离子植入中延长设备正常运行时间的方法及装置
US20060130896A1 (en) 2004-01-07 2006-06-22 Skibinski Gregory J High reliability gas mixture back-up system
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7109113B2 (en) 2004-01-30 2006-09-19 Micron Technology, Inc. Solid source precursor delivery system
US20060207503A1 (en) * 2005-03-18 2006-09-21 Paul Meneghini Vaporizer and method of vaporizing a liquid for thin film delivery
US20060133955A1 (en) 2004-12-17 2006-06-22 Peters David W Apparatus and method for delivering vapor phase reagent to a deposition chamber
US20060156980A1 (en) 2005-01-19 2006-07-20 Samsung Electronics Co., Ltd. Apparatus including 4-way valve for fabricating semiconductor device, method of controlling valve, and method of fabricating semiconductor device using the apparatus
US20070042119A1 (en) 2005-02-10 2007-02-22 Larry Matthysse Vaporizer for atomic layer deposition system
CN101032006A (zh) 2005-02-17 2007-09-05 株式会社日立国际电气 半导体器件的制造方法以及衬底处理装置
US7790304B2 (en) 2005-09-13 2010-09-07 3M Innovative Properties Company Catalyst layers to enhance uniformity of current density in membrane electrode assemblies
EP2006414A2 (en) * 2006-03-30 2008-12-24 Mitsui Engineering & Shipbuilding Co., Ltd. Atomic layer growing apparatus
FR2900070B1 (fr) 2006-04-19 2008-07-11 Kemstream Soc Par Actions Simp Dispositif d'introduction ou d'injection ou de pulverisation d'un melange de gaz vecteur et de composes liquides et procede de mise en oeuvre dudit dispositif.
US20080241805A1 (en) 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
ATE546570T1 (de) 2006-11-22 2012-03-15 Soitec Silicon On Insulator Verfahren zur epitaktischen abscheidung von einkristallinen iii-v halbleitermaterial
US8518482B2 (en) 2007-01-29 2013-08-27 Praxair Technology, Inc. Bubbler apparatus and method for delivering vapor phase reagent to a deposition chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
JP4472008B2 (ja) 2007-08-30 2010-06-02 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20090065351A1 (en) 2007-09-11 2009-03-12 Ovonyx, Inc. Method and apparatus for deposition
US20090107089A1 (en) 2007-10-29 2009-04-30 Yuang-Cheng Chung Unlimited supplying type partial gas pressure structure
US20090202609A1 (en) 2008-01-06 2009-08-13 Keough Steven J Medical device with coating composition
US20090214777A1 (en) 2008-02-22 2009-08-27 Demetrius Sarigiannis Multiple ampoule delivery systems
JP5461786B2 (ja) * 2008-04-01 2014-04-02 株式会社フジキン 気化器を備えたガス供給装置
DE102008026974A1 (de) 2008-06-03 2009-12-10 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten aus polymeren Para-Xylylene oder substituiertem Para-Xylylene
US8468840B2 (en) 2008-07-24 2013-06-25 Praxair Technology Method and apparatus for simultaneous gas supply from bulk specialty gas supply systems
US8012876B2 (en) 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
US20100266765A1 (en) 2009-04-21 2010-10-21 White Carl L Method and apparatus for growing a thin film onto a substrate
KR101279606B1 (ko) 2009-12-11 2013-07-05 한국전자통신연구원 그래핀 박막의 증착방법
US8997686B2 (en) * 2010-09-29 2015-04-07 Mks Instruments, Inc. System for and method of fast pulse gas delivery
TWI520177B (zh) 2010-10-26 2016-02-01 Hitachi Int Electric Inc 基板處理裝置、半導體裝置之製造方法及電腦可讀取的記錄媒體
JP5847566B2 (ja) 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
TWI458843B (zh) * 2011-10-06 2014-11-01 Ind Tech Res Inst 蒸鍍裝置與有機薄膜的形成方法
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
JP5837869B2 (ja) * 2012-12-06 2015-12-24 株式会社フジキン 原料気化供給装置
US11946136B2 (en) 2019-09-20 2024-04-02 Asm Ip Holding B.V. Semiconductor processing device
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
TW202146701A (zh) 2020-05-26 2021-12-16 荷蘭商Asm Ip私人控股有限公司 氣相沉積系統、在基材上形成氮化釩層之方法、直接液體注入系統

Also Published As

Publication number Publication date
US20210002767A1 (en) 2021-01-07
TW202117048A (zh) 2021-05-01
US20240026538A1 (en) 2024-01-25
KR20210005523A (ko) 2021-01-14
CN112176317A (zh) 2021-01-05
US11788190B2 (en) 2023-10-17

Similar Documents

Publication Publication Date Title
JP2021011947A (ja) 液体気化器
US11377732B2 (en) Reactant vaporizer and related systems and methods
JP6567864B2 (ja) マルチステーション基板堆積システムにおける一aldサイクルの厚さ制御
US6821341B2 (en) Precursor for use in preparing layers on substrates
JP5816349B2 (ja) 基体上に膜を堆積する方法および気化前駆体化合物を送達する装置
US10147597B1 (en) Turbulent flow spiral multi-zone precursor vaporizer
US20240200189A1 (en) Semiconductor processing device
WO2010123666A2 (en) Method and apparatus for growing a thin film onto a substrate
US20080095936A1 (en) Film forming system and method for forming film
US7462245B2 (en) Single-wafer-processing type CVD apparatus
TW202109682A (zh) 半導體蝕刻裝置及蝕刻基板之方法
US20130220221A1 (en) Method and apparatus for precursor delivery
US20050249873A1 (en) Apparatuses and methods for producing chemically reactive vapors used in manufacturing microelectronic devices
JP2009544842A (ja) 原子層堆積用の前駆体溶液を気化及び供給するための方法及び装置
JP2021188130A (ja) バナジウム前駆体を直接液体注入するためのシステムおよび方法
JP4595356B2 (ja) 有機金属化学気相堆積装置用原料気化器
TW202217046A (zh) 特徵部內的濕蝕刻速率比例縮減
US20230029724A1 (en) System and method for monitoring precursor delivery to a process chamber
JP2024055821A (ja) 液体原料前駆体送達システム装置およびその使用方法
KR20000017994U (ko) 화학기상증착 장비의 티이오에스(teos) 가스 배달라인 가열장치

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230622

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240624