JP2020534689A - ウエハ同士の膜厚合わせのためのチャンバ蓄積に応じた堆積サイクル数の調節による厚さ補償 - Google Patents

ウエハ同士の膜厚合わせのためのチャンバ蓄積に応じた堆積サイクル数の調節による厚さ補償 Download PDF

Info

Publication number
JP2020534689A
JP2020534689A JP2020515257A JP2020515257A JP2020534689A JP 2020534689 A JP2020534689 A JP 2020534689A JP 2020515257 A JP2020515257 A JP 2020515257A JP 2020515257 A JP2020515257 A JP 2020515257A JP 2020534689 A JP2020534689 A JP 2020534689A
Authority
JP
Japan
Prior art keywords
substrates
batch
amount
accumulated
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020515257A
Other languages
English (en)
Inventor
フィリップス・リチャード
バルダッセローニ・クロエ
マンジュナス・ニシャンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2020534689A publication Critical patent/JP2020534689A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F17/00Digital computing or data processing equipment or methods, specially adapted for specific functions
    • G06F17/10Complex mathematical operations
    • G06F17/11Complex mathematical operations for solving equations, e.g. nonlinear equations, general mathematical optimization problems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Inorganic Chemistry (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Optimization (AREA)
  • Data Mining & Analysis (AREA)
  • Pure & Applied Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Algebra (AREA)
  • Operations Research (AREA)
  • Databases & Information Systems (AREA)
  • Software Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【解決手段】原子層堆積を実施するための方法および装置が記載される。方法は、堆積チャンバ内部の内部領域への現在の蓄積した堆積物の量を決定することであって、蓄積した堆積物の量は、基板のバッチを処理する過程で変化することと、決定された蓄積した堆積物の量を、目的の堆積厚さを達成するために必要なALDサイクルの数と蓄積した堆積物の量を表す変数との関係に適用することであって、適用することは、堆積チャンバ内部の内部領域への現在の蓄積した堆積物の量を前提として、目的の堆積厚さを生成するための補償ALDサイクル数を返すことと、補償ALDサイクル数をバッチにおける1つ以上の基板で実施することと、を含んでよい。【選択図】図4

Description

[関連出願の相互参照]
本出願は、全ての目的のためにその各々の開示が参照として本明細書に援用される、2017年9月15日出願の米国仮特許出願第62/559,434号、および、2017年10月16日出願の米国特許出願第15/785,093号の優先権を主張する。
集積回路の製作は、多種多様な処理工程を含む。よく用いられる動作の1つは、誘電体膜の堆積である。この膜は、比較的平坦な基板の上に堆積されてよい、または、シリコン基板の上もしくは内部にパターン化されたフィーチャの間のギャップに堆積されてよい。そのような膜の堆積方法の1つは、プラズマ支援原子層堆積(ALD)である。この種の方法では、共形膜を堆積するために、いくつかの動作がサイクルで行われる。通常、ALDプロセスは、(a)1回分の第1の反応剤を反応チャンバに提供する工程と、(b)反応チャンバをパージする工程と、(c)第2の反応剤を反応チャンバに流す工程と、(d)反応チャンバでプラズマを点火する工程と、(e)プラズマを消去し、反応チャンバをパージする工程とを含む。基板表面への前駆体の供給/吸着の性質により、1サイクルのALDプロセスは、通常、ほぼ単分子層の材料を堆積する。これらの動作は何度も繰り返されて、所望の膜厚に達するために追加の単分子層が堆積されてよい。スループットおよび均一性の両方を最適化する動作モードを定義することは、依然として課題のままである。
一実施形態では、堆積チャンバにおいて原子層堆積が実施される方法が提供される。この方法は、(a)堆積チャンバ内部の少なくとも1つの内部領域における現在の蓄積した堆積物の量を決定することであって、蓄積した堆積物の量は、基板のバッチを処理する過程で変化することと、(b)(a)で決定された蓄積した堆積物の量、またはそこから導出したパラメータを、(i)目的の堆積厚さを達成するために必要なALDサイクル数と(ii)蓄積した堆積物の量を表す変数との関係に適用することであって、その適用が、堆積チャンバ内部の内部領域における現在の蓄積した堆積物の量を前提として目的の堆積厚さを生成するためのALDサイクルの補償数を返すことと、(c)補償数のALDサイクルを基板のバッチにおける1枚以上の基板で実施することとを含む。
いくつかの実施形態では、蓄積された堆積物の量を決定することは、実施されたALDサイクル数、および、ALDサイクルごとの蓄積した堆積物の予測量を用いて、蓄積した堆積物の量を計算することを含む。
いくつかの実施形態では、蓄積した堆積物の量は、基板のバッチを処理する過程で実質的に線形的に変化してよい。
いくつかの実施形態では、蓄積した堆積物の量は、ALDサイクル数によって実質的に線形的に変化してよい。
いくつかの実施形態では、蓄積した堆積物の量を決定することは、in−situで蓄積した堆積物の量を測定することを含んでよい。
いくつかの実施形態では、この関係は、基板のバッチを処理する過程でその基板のバッチにおける基板の厚さ傾向に少なくとも部分的に基づいてよい。
いくつかの実施形態では、この関係は、少なくとも1つの処理された基板のバッチのデータに少なくとも部分的に基づいてよく、処理された基板のバッチにおける各基板において同数の堆積サイクルが実施されてよく、データは、処理された基板のバッチにおける複数の基板の厚さ、および、複数の基板の各基板について蓄積した堆積物の対応する量を含んでよい。
いくつかのそのような実施形態では、この関係は、データの多項式フィットであってよい。
いくつかの実施形態では、この関係は、目的の堆積厚さを生成するための補償数のALDサイクルが蓄積した堆積物の量を表す変数の関数である多項式関係であってよく、蓄積した堆積物の量を表す変数は、べき乗されてよい。
いくつかのそのような実施形態では、べき乗は3であってよい。
いくつかのそのような他の実施形態では、多項式関係は、目的の堆積厚さを達成するために必要なALDサイクルの数を項の合計の関数として表してよい。少なくとも2つの項は、堆積チャンバ内部の内部領域における現在の蓄積した堆積量を表す、べき乗の変数を含んでよい。
いくつかのそのような他の実施形態では、多項式関係は、[補償数のALDサイクル]=[目的の堆積厚さを達成するために必要なALDサイクル数]×(x×A+x×B+x×C+1×D)として表されてよい。Xは、堆積チャンバ内部の内部領域における現在の蓄積した堆積量であってよく、A、B、C、およびDは、定数であってよい。
いくつかの実施形態では、この方法は、さらに、(d)基板のバッチにおける全ての基板について(a)ないし(c)を繰り返すことを含んでよい。
いくつかの実施形態では、この方法は、さらに、(e)(c)の後に、堆積チャンバから1つ以上の基板を除去することを含んでよい。1つ以上の基板は、目的の堆積厚さを有するALD堆積層を備えてよい。
いくつかの実施形態では、原子層堆積は、酸化シリコン膜または窒化シリコン膜を生成してよい。
一実施形態では、システムが提供されてよい。このシステムは、ALD気質が実施されるための堆積チャンバと、堆積チャンバ内で基板上に材料を堆積させるように堆積チャンバを制御するためのコントローラとを備えてよい。コントローラは、(a)堆積チャンバ内部の少なくとも1つの内部領域における現在の蓄積した堆積物の量を決定するための制御ロジックであって、蓄積した堆積物の量は、基板のバッチを処理する過程で変化することと、(b)(a)で決定された蓄積した堆積物の量、またはそこから導出したパラメータを、(i)目的の堆積厚さを達成するために必要なALDサイクル数と(ii)蓄積した堆積物の量を表す変数との関係に適用するための制御ロジックであって、その適用が、堆積チャンバ内部の内部領域における現在の蓄積した堆積物の量を前提として目的の堆積厚さを生成するためのALDサイクルの補償数を返すことと、(c)補償数のALDサイクルを基板のバッチにおける1枚以上の基板で実施するための制御ロジックを含んでよい。
いくつかの実施形態では、堆積チャンバは、2つ以上の処理ステーションを備えてよい。
いくつかの実施形態では、コントローラは、さらに、(d)堆積チャンバ内部の少なくとも内部領域における現在の蓄積した堆積物の量が蓄積限界に達したという決定に応答して、基板のバッチの処理を停止するための制御ロジックと、(e)(d)の後に、堆積チャンバ内部を洗浄するための制御ロジックとを含んでよい。
いくつかの実施形態では、この関係は、少なくとも1つの処理された基板のバッチのデータに少なくとも部分的に基づいてよく、処理された基板のバッチの各基板において同数の堆積サイクルが実施されてよく、データは、処理された基板のバッチにおける複数の基板の厚さ、および、複数の基板の各基板について蓄積した堆積物の対応する量を含んでよい。
いくつかの実施形態では、蓄積された堆積物の量を決定することは、実施されたALDサイクル数、および、ALDサイクルごとの蓄積した堆積物の予測量を用いて、蓄積した堆積物の量を計算することを含む。
ALDプロセスによって基板上に材料膜を形成するための一連の動作の例を表すフローチャート。
堆積プロセスによって基板上に材料膜を形成するための基本的な一連の動作を示すタイミングダイアグラム。
基板のバッチに対するALD堆積プロセスの例についての膜厚対チャンバ蓄積を示すグラフ。
基板のバッチを処理する過程でバッチの基板について堆積サイクル数を調節するための技術の例を表すフローチャート。
基板のバッチの堆積プロセス例についての様々な値を示す表。
ALDプロセスステーション例の概略実施形態。
マルチステーション処理ツールの実施形態を表す概略図。
以下の説明では、提示の実施形態の十分な理解を提供するために多くの特定の詳細が記載される。開示の実施形態は、これらの特定の詳細の一部または全てなしに実施されてよい。他の例では、開示の実施形態を不必要に曖昧にしないように、周知のプロセス動作は詳細には記載されていない。開示の実施形態は、特定の実施形態と併せて説明されるが、開示の実施形態を限定する意図はないことが理解されるだろう。
本願では、用語「半導体ウエハ」、「ウエハ」、「基板」、「ウエハ基板」、および「半製品集積回路」は、同義で用いられる。当業者は、用語「半製品集積回路」が、集積回路製作の多くの段階のいずれかの間におけるシリコンウエハを意味しうることを理解するだろう。半導体デバイス業界で用いられるウエハまたは基板は、通常、200mm、300mm、または450mmの直径を有する。以下の発明を実施するための形態は、本発明がウエハ上で実施されることを前提とする。しかし、本発明は、そのように限定されない。ワークピースは、様々な形状、大きさ、および材料を有してよい。半導体ウエハに加えて、本発明を利用してよい他のワークピースは、プリント回路基板などの様々な物品を含む。
半導体デバイスの製造は、通常、統合製作プロセスにおいて平面または非平面の基板上に1つ以上の薄膜を堆積させることを含む。統合プロセスのいくつかの態様では、それは基板形状に共形な薄膜を堆積させるのに有効であってよい。いくつかの場合に有効な反応の一種は、化学気相堆積(CVD)を含む。通常のCVDプロセスでは、気相反応剤が反応チャンバに同時に導入され、気相反応が起きる。反応生成物は、基板の表面上に堆積する。反応はプラズマによって行われ、その場合、このプロセスは、プラズマ強化化学気相堆積(PECVD)反応と呼ばれてよい。本明細書で用いられるように、用語CVDは、別段の表示がない限り、PECVDを含むことを意図する。CVDプロセスは、文脈によっては適切とはいえなくなる特定の不利点を有する。例えば、CVD気相反応のマストランスポート限定は、上面(例えば、ゲートスタックの上面)ではより厚い堆積を、凹面(例えば、ゲートスタックの底角部)ではより薄い堆積を示す「ブレッドローフィング」堆積効果をもたらす可能性がある。さらに、いくつかのダイは、異なるデバイス密度の領域を有しうるため、基板表面全体のマストランスポート効果は、ダイ内およびウエハ内の膜厚変動を引き起こしうる。これらの膜厚変動は、ある領域におけるオーバーエッチング、および他の領域におけるアンダーエッチングを引き起こし、デバイス性能およびダイ歩留まりを悪化させうる。CVDプロセスに関する別の問題は、高アスペクト比のフィーチャにおいて共形膜を形成できないことが多いことである。この問題は、デバイスの大きさが縮小し続けるにつれてますます問題になっている。
半導体業界においてデバイスおよびフィーチャの大きさが縮小し続けるため、また、集積回路(IC)の設計において3Dデバイス構造がより普及してきているため、薄共形膜(非平面であっても下地構造の形状に対して均一な厚さを有する材料膜)を堆積させる能力は、重要性を増し続けている。原子層堆積(ALD)は、ALDの1サイクルが材料の1つの薄層を堆積するのみであり、その厚さが、膜形成化学反応自体の前に基板表面に吸着(すなわち、吸着制限層を形成)しうる1つ以上の膜前駆体反応剤の量によって限定されることから、共形膜の堆積によく適した膜形成技術である。次に、複数の「ALDサイクル」が所望の厚さの膜を形成するために用いられてよく、各層は薄く共形なため、結果として生じた膜は、下地のデバイス構造の形状に実質的に共形となる。例えば、各ALDサイクルは、一例では、約0.5〜3Åの厚さの膜層を堆積してよく、基板についての全ての堆積サイクルを実施した後の層の総厚さは、約910Åであってよい。特定の実施形態では、各ALDサイクルは、
1.第1の前駆体への基板表面の曝露
2.基板が設置される反応チャンバのパージ
3.通常、プラズマおよび/または第2の前駆体による基板表面の反応の活性化
4.基板が設置される反応チャンバのパージ
の工程を含む。
各ALDサイクルの期間は、通常、25秒未満、または10秒未満、または5秒未満であってよい。ALDサイクルのプラズマ曝露工程は、1秒以下などの短期間であってよい。図1は、ALDプロセスによって基板上に材料膜を形成するための一連の動作例のフローチャートを表す。図1からわかるように、上記の項目1はブロック101に対応し、上記の項目2はブロック103に対応し、上記の項目3はブロック105に対応し、上記の項目4はブロック107に対応する。4つのブロックは、プロセスが停止した後にNサイクル実施される。
図2は、堆積プロセスによって基板上に材料膜を形成するための基本的な一連の動作を示すタイミングダイアグラムを表す。図2は、4つの堆積サイクルのプロセス工程を表し、各サイクルは、前駆体供給工程、RF電力供給工程、反応ガス供給工程、および処理チャンバの加圧工程を含む。図2のプロセス工程は、それらの対応する線で示され、オンまたはオフいずれかのブール値として提示される。プロセス工程は、その対応する線が図2に表される「オン」位置にある場合はオンであり、その対応する線が図2に表される「オフ」位置にある場合はオフである。
図2の全ての4つの堆積サイクルの間に、処理チャンバは加圧されてよい。図2では堆積の1サイクルが取り上げられており、その1サイクルでは、堆積サイクルの第1段階は、投与段階であってよい。投与段階の間は、前駆体は処理チャンバに供給されるが、RF電力はオフであり、反応ガスは供給されない。投与段階の間は、基板が前駆体を吸着させ、基板上に吸着層を形成する。図2の投与段階は、図1のブロック101に相当する。投与段階の後は、次に堆積サイクルのパージ段階があってよい。パージ段階の間は、前駆体供給は停止するが、RF電力は未だオフで、反応ガスは未だ供給されない。パージ段階は、少なくとも一部の吸着されていない膜前駆体および/反応剤副生成物を、吸着された前駆体を取り囲むボリュームから取り除いてよい。図2のパージ段階は、図1のブロック103に相当する。
パージ段階の後に、堆積サイクルは、次に変換段階に入ってよい。変換段階の間に、RF電力はオンされるが、反応ガスも供給される。変換段階の間に、吸着された膜前駆体は、基板上に膜層を形成するように反応してよい。図2の変換段階は、図1のブロック105に相当する。最後に、変換段階の完了後に、堆積サイクルは、次のRFパージ段階に入ってよい。次のRFパージ段階は、吸着された前駆体を反応させた後に脱着した膜前駆体および/または反応副生成物があるときは、脱着した膜前駆体および/または反応副生成物を、膜層を取り囲むボリュームから除去してよい。図2の次のRFパージ段階は、図1のブロック107に相当する。図2で取り上げられた1サイクルでは、dの厚さでありうる膜の薄層が基板上に堆積されてよい。特定の実施形態では、dは、0.1Åから2.5Åの間の厚さであってよい。追加のサイクルは、およそdの厚さでありうる膜層を基板上に堆積してもよい。
ALD/CFDを用いた成膜方法は、各々、その全てが参照により本明細書に援用される次の米国特許出願:2011年4月11日出願の米国特許出願第13/084,399号(現米国特許第8,728,956号)、2013年7月29日出願の米国特許出願第13/953,616号(現米国特許第9,355,886号)、2013年11月7日出願の米国特許出願第14/074,596号、2013年12月30日出願の米国特許出願第14/144,107号(現米国特許第9,076,646号)、および2015年4月3日出願の米国特許出願第14/678,736号(現米国特許第9,502,238号)に記載されている。
材料が基板上に堆積するにつれて、堆積プロセスからの材料は、1つ以上の内部チャンバ表面(例えば、チャンバ壁、台座、およびシャワーヘッド)に堆積し、本明細書ではそれが「蓄積」と呼ばれる。チャンバ洗浄の間に複数の基板が同じチャンバ内で処理されるため、蓄積は、より多くの基板が処理されるにつれて増加する。いくつかのALDプロセスについては、蓄積は、実質的に線形的に増加する(例えば、線形の10%以内)。チャンバ内の蓄積が特定の厚さに達すると、例えば、チャンバに堆積した材料が剥がれて、または剥がれ落ちて基板を汚染するなど、チャンバ内で悪影響を引き起こす可能性があり、プラズマのインピーダンスが変更させられ、次に均一性を含む様々な膜特性に悪影響を及ぼす可能性がある。従って、蓄積がそのような厚さ(蓄積限界と呼ばれる)に達したときは、基板の処理は停止され、チャンバが洗浄される。
ALDプロセスは、バッチで実行されることが多い。各バッチは、数枚の基板から数百枚の基板までのいずれかを有しうる複数の基板を含む。基板のバッチは、蓄積限界に達する前、または蓄積限界に達したときに、特定のALDプロセスのために処理されうる基板の数として定義されてよい。例えば、特定のチャンバにおけるALDプロセスは、チャンバへの蓄積がそのチャンバで処理される基板に悪影響を及ぼす地点である20,000Åの蓄積限界を有してよい。従って、そのチャンバで処理される基板のバッチは、20,000Åの蓄積限界に達する前にそのチャンバで処理されうる基板の数に限定される。特定の実施形態では、バッチにおける第1のウエハは、チャンバ洗浄後に処理される最初のウエハである。マルチステーションリアクタでは、複数のウエハが一緒に処理されるため、第1のウエハは、バッチで処理される集団として第1のウエハ群であるウエハのグループの一部である。最後のウエハは、チャンバ洗浄前に処理される最終ウエハである。マルチステーションリアクタでは、複数の最後のウエハがあるだろう。
上記のように、チャンバ内で基板のバッチについて蓄積限界が達すると、チャンバは、チャンバの1つ以上の表面上に蓄積した物質を除去するために洗浄される。チャンバの洗浄および準備については、各々、その全てが参照として本明細書に援用される、次の米国特許および米国特許出願:2013年11月25日出願の「CHAMBER UNDERCOAT PREPARATION METHOD FOR LOW TEMPERATURE ALD FILMS」と題した米国特許出願第14/089,653号、2014年1月17日出願の「METHOD AND APPRATUS FOR THE REDUCTION OF DEFECTIVITY IN VAPOR DEPOSITED FILMS」と題した米国特許出願第14/158,536号、2009年1月16日出願の「PLASMA CLEAN METHOD FOR DEPOSITION CHAMBER」と題した米国特許出願第12/355,601号、2012年10月17日出願の「METHODS AND APPARATUS FOR CLEANING DEPOSITION CHAMBERS」と題した米国特許出願第13/654,303号、米国特許第7,479,191号、および米国特許第8,262,800号においてさらに説明されている。反応チャンバが洗浄された後は、新しい基板のバッチが処理されてよい。
堆積した膜の特定の特性は、バッチ内で時間とともに変動する傾向がある。変動する可能性のある特性の例は、中でも膜厚およびエッチング速度を含む。これらの趨勢的な膜特性は、ダブルパターニングスペーサ、FinFETスペーサ、ならびにゲートライナおよびスペーサなどの特定の適用では問題を提示する。これらの適用は、膜厚、屈折率、エッチング速度などの膜特性の正確な制御を要求する精密な臨界寸法制御を必要としうる。例えば、約500の基板の処理は、各基板への約10,000Åの蓄積および900Å厚の堆積層をもたらす可能性があり、厚さ傾向は、1,000Åの蓄積ごとに最大約10Åである可能性があり、基板のバッチを処理する過程における約1%の厚さ傾向もやはり、バッチにおける基板の均一性に悪影響を及ぼす可能性がある。
バッチ間にチャンバを洗浄して準備するのに失う時間が少ないため、大きなバッチサイズは、スループットを最大にする意味で有利である。場合によっては、バッチは、少なくとも約50の基板(例えば、少なくとも約100の基板、または少なくとも約200の基板、または少なくとも約300の基板、または少なくとも約400の基板、または少なくとも約500の基板)を含む。大きなバッチサイズの使用を可能にする1つの要因は、大きなチャンバボリュームである。場合によっては、チャンバボリュームは、少なくとも約2L(例えば、少なくとも約0.5L)であってよい。本実施形態での使用に適しうる装置の例は、カリフォルニア州フレモントのラム・リサーチ・コーポレーションから入手可能なVECTOR(登録商標)、SPEED(登録商標)、ALTUS(登録商標)、およびSTRIKER(登録商標)の装置の製品ファミリを含む。大ボリュームのチャンバは、例えば、チャンバボリューム内の異なるステーションで、一度に複数の基板を処理することができる。さらに、大ボリュームチャンバは、蓄積が起きる面積が大きいため、チャンバの表面への蓄積物の堆積がより遅くなる。しかし、大きなバッチサイズは、膜特性傾向の問題の一因にもなる。例えば、より大きなバッチは、バッチ内の膜特性に大きな変動をもたらしうる。
前述のように、膜特性傾向の問題は、少なくとも部分的に、内部チャンバ表面への物質の蓄積から生じうる。例えば、上記のように、チャンバインピーダンスは、堆積物が蓄積するにつれて変化する。チャンバ壁およびシャワーヘッドの裏面への蓄積は、変化するチャンバインピーダンスの点から見て特に問題となりうる。このチャンバインピーダンスにおける変化は、RF電力が基板に供給される効率性に影響を及ぼす。よって、従来の方法が、一般に、基板のバッチ全体について1つのRF電力設定を用いるのに対して、基板に供給される実際のRF電力量は、バッチ内で変化する。様々な場合では、RF電力が基板に供給される効率性は、バッチの間に向上する。例えば、膜厚に関しては、この向上したRF供給の効率性は、バッチの追加の基板が処理されるにつれて膜厚の減少をもたらしうる。いくつかのALDプロセスでは、膜厚は、基板のバッチの処理の過程で、減少傾向、増加傾向、または増加および減少傾向を有しうる。
図3は、基板のバッチに対するALD堆積プロセス例についての膜厚対チャンバ蓄積を示すグラフを表す。この基板のバッチについて、バッチにおける全ての基板に対して、同数の堆積サイクルを含む同じ堆積条件が用いられた。上記のように、バッチのより多くの基板が処理されるにつれて(例えば、バッチ処理を通じて時間が進むにつれて)チャンバ蓄積は増加する。チャンバ蓄積は、通常、実際に測定された数量に関するよりも、堆積条件に基づいて計算される測定基準に関する。これは、線形的または実質的に線形的な傾向であってよい。それでも、チャンバ蓄積は、バッチ全体にわたって確実に計算され監視されうる。図3では、基板のバッチを処理する過程において7つの基板の膜厚が測定され、図のように、膜厚は、バッチを処理する過程で減少傾向を有し、約4.4Åの全体量だけ変化する。堆積厚さにおけるこの変化は、バッチを処理する過程において堆積率が変化することも示している。図からもわかるように、厚さ傾向は線形的ではなく、むしろ多項式表現である。
本明細書における様々な実施形態では、基板のバッチ全体にわたって厚さを制御する工程が行われる。例えば、基板のバッチにおける1つ以上の基板で実施される堆積サイクルの数は、その基板のバッチを処理する過程で調節されてよい。本明細書に記載のいくつかの技術は、基板のバッチ全体についてより正確に制御された膜厚をもたらすように堆積サイクルの数を調節する。後述のように、いくつかのそのような実施形態では、これらのサイクル数(すなわち、補償サイクル数)の調節は、目的の堆積厚さを達成するために必要な蓄積量とサイクル数との関係に基づいてよい。
いくつかの実施形態では、堆積条件は、堆積サイクル数が調節されてよいこと以外は、バッチにおける全ての基板について一定のままである。例えば、基板のバッチを処理する過程で堆積層の厚さが下降傾向である(例えば、減少してる)場合、これは、堆積率の減少によって引き起こされる可能性があり、この変化を明らかにするため、基板のバッチにおける基板を処理する過程で基板に実施されるサイクル数は、サイクル数が増加するようにそれに応じて調節されてよい。同様に、基板のバッチを処理する過程で堆積層の厚さが上昇傾向である場合、目的の厚さの膜を堆積するサイクル数は、バッチを通じてそれ相応に減少してよい。
いくつかの実施形態では、バッチにおける基板で実施されるサイクル数に施される調節は、本明細書において「実験的/較正バッチ」と呼ばれる、少なくとも1つの以前に処理されたウエハのバッチのデータに基づいてよい。バッチが流される度にそのような実験的/較正バッチを処理する必要はない。いくつかの実施形態では、実験的/較正バッチが流されるのは1回(例えば、特定の膜の種類、前駆体、流量、タイミング、温度、圧力などの関連する堆積条件のセットごとに1回)であり、その結果は、後続の基板のバッチ(例えば、関連する堆積条件を用いるあらゆるバッチ)における1つ以上の基板に実施される堆積サイクルの数の調節を得るために用いられる。場合によっては、堆積パラメータは、実験的/較正バッチがバッチにおける全ての基板について同数の堆積サイクル(例えば、Nサイクル)を実施すること以外は、実験的/較正バッチと一般的に基板上への成膜に用いられるバッチとの間で不均一である。
実験的/較正バッチの間の任意の地点におけるサイクル数は、目的の堆積厚さを達成するために必要なサイクル数であってよい。例えば、これは、チャンバ内部に洗浄動作が実施された後など、チャンバに存在する蓄積が実質的にないときに実施されるサイクル数であってよい。このサイクル数は、(例えば、手動でもしくは測定機器を用いて得られた)測定値、または、(例えば、数学的モデリングを用いて得られた)推定値もしくは計算値であってよい。つまり、目的の堆積厚さを達成するために必要なこのサイクル数は、通常の動作条件下で基板上に目的の厚さを堆積させるサイクル数である。本明細書で説明されるように、目的の厚さは、様々な理由で常に基板上に堆積されるとは限らない。例えば、図3を参照すると、目的の厚さは910Åであって、そのプロセスの堆積速度が0.83Å/サイクルである場合、目的の堆積厚さを達成するために必要なサイクル数は、1,096サイクルである。
実験的/較正バッチの間に収集されたデータは、基板上の堆積層の厚さや、チャンバの少なくとも1つの内面への蓄積など、様々なパラメータを含んでよい。例えば、実験的/較正バッチ処理の間に、チャンバ蓄積量は、各基板が処理される際に監視/記録される。上記のように、これは、実際に測定される数量ではなく(いくつかの実施形態では測定されるが)、堆積条件または実施されるサイクル数に基づいて計算される測定基準であってよい。この監視された蓄積は、実施された堆積サイクルの回数に基づく、堆積サイクルごとの蓄積堆積物の予測量または測定量と考えられうる。上記のように、蓄積された堆積物の量は、基板のバッチを処理する過程で、および/または、実施される堆積サイクル数によって、実質的に線形的に変化してよい。また、実験的/較正バッチ処理の間に、複数の基板の堆積層全体の厚さが測定されてよい。これらの厚さ測定が行われるときは、これらの基板の各々についての蓄積量も知られることで、厚さ測定値が対応する蓄積量に関連付けられる。いくつかの実施形態では、ALDサイクルごとの堆積厚さは、関数蓄積として決定される。
膜厚とチャンバ蓄積との関係は、例えば、図3に示されるように、バッチを処理する過程における膜厚対チャンバ蓄積を描くことによって、データに基づいて特徴付けられうる。図3のデータは、ウエハの実験的/較正バッチと見なされてよい。ここで、7つの異なる基板の堆積層全体の厚さが7回測定される(例えば、910Åの第1測定値および約906Åの第7測定値)。そのような測定は、処理の間にin−situで計測機器を用いて、または、基板処理が完了した後に外部計測機器を用いて行われてよい。対応する蓄積もこの処理の間に得られ、各厚さ測定値に関連付けられた。例えば、910.0Åの第1測定値は、蓄積が約2,000Åだったときに測定され、906.0Åの第7測定値は、蓄積が約12,000Åだったときに測定された(よって、これらの値は、互いに対応し、互いに関連付けられている)。
次に、モデリングは、測定された堆積厚さデータと蓄積との関係を数値化するために用いられてよい。例えば、基板のバッチを処理する過程における蓄積に対する厚さの変化を決定するために、測定された厚さおよび蓄積データに多項式フィットまたは多項式回帰が適用されてよい。いくつかの実施形態では、図3のように、独立変数は蓄積であり、従属変数は、測定された厚さであってよい。多項式フィットは、y=[x×β3+x×β2+x×β1+β0](xは蓄積、yは測定された厚さ)などの3次の多項式であってもよい。フィットまたは回帰をこのデータに適用することは、本明細書の方程式例のβ3、β2、β1、およびβ0などのフィットの定数値を返してよい。
膜厚と蓄積との関係が得られると、後続の基板のバッチについて、本明細書において「補償サイクル数」と呼ばれる調節されたサイクル数が得られる。特定の蓄積量(例えば、蓄積なし)を有するチャンバにおいて目的の厚さに達するために必要なサイクル数を知ることによって、および、現在の蓄積量を知ることによって、この方法/システムは、現在の蓄積量を有するチャンバにおいて目的の厚さまで堆積させるために必要なサイクル数である補償サイクル数を決定する。
図4は、バッチを処理する過程で、バッチの基板に対する堆積サイクル数を調節するための技術例のフローチャートを表す。上記のように、調節は、基板のバッチにわたる厚さ傾向を補償することにより目的の厚さをバッチの各基板上に堆積させるために実施される。既知の厚さ傾向は、バッチを処理する過程における蓄積に関連付けられるため、バッチ処理を通して任意の地点における蓄積値は、バッチのその地点における補償サイクル数を決定するための独立変数として用いられる。従って、この技術の第1の工程でありうるブロック409では、蓄積量が決定される。この決定は、上述のように計算決定または測定決定であってよく、バッチにおける基板ごと、バッチにおける1つおきの基板ごと、バッチにおけるNの基板ごと、またはバッチの既定時間(例えば、1Å、0.5Å、または0.1Åの変化など、目的の厚さから特定の閾値だけ厚さが変化するように決定されたとき)においてなど、バッチ処理の間の任意の時点で行われてよい。
ブロック411では、既知の蓄積が決定されると、その値は、(i)そのバッチの目的の堆積厚さを達成するために必要な堆積サイクル数(上述のように、例えば、チャンバ内部に蓄積がない、または実質的に蓄積がない(例えば、5%未満)ときに、目的の堆積厚さを達成するために必要な堆積サイクル数)と(ii)蓄積した堆積物の量を表す変数との関係に適用されてよい。これらの値は、計算的におよび/または実験的/較正バッチにおいてなど、バッチの処理前に決定されてよい。
既知の蓄積、またはそこから導出したパラメータ(例えば、蓄積における変化率、または蓄積に適用される関数)が適用される関係は、補償サイクル数が3乗などのべき乗された蓄積量を表す変数の関数でありうる、上述のように生成された多項式フィットなどの多項式の関係であってよい。いくつかの実施形態では、この関係は、少なくとも2つの項が、べき乗された、堆積チャンバの内部領域における現在の蓄積した堆積量を表す変数を含む、項の総数の関数として補償サイクル数を表してよい。例えば、この関係は、次の関数で表されてよい:[ALDサイクルの補償数]=[目的の堆積厚さを達成するために必要な補償されていないサイクル数]×(x×A+x×B+x×C+1×D)。変数「x」は、内部チャンバにおける現在の決定された蓄積量であり、変数A、変数B、変数C、および変数Dは、データの多項式フィットによってなど、上述の基板の実験的/較正バッチからのデータを用いて得られうる定数である。この方程式は、チャンバ内部における現在の決定された蓄積量を前提として実施される調整されたサイクル数または補償サイクル数を返す。
ブロック411に表された動作の適用例は、基板のバッチの堆積プロセス例についての様々な値を示す表を表す図5を用いて理解されうる。図5では、表の最初の5列は、4つの基板が4ステーション処理チャンバで同時に処理された基板の実験的/較正バッチからのデータを提示する。1列目は、処理されたウエハのセットを示し、2列目は、各セットのウエハ数(例えば、1番目のウエハセットは最初の4つのウエハ(ウエハ1、ウエハ2、ウエハ3、およびウエハ4)を有する)を示し、6番目のウエハセットは、ウエハ21、ウエハ22、ウエハ23、およびウエハ24を含む。3列目は、基板のバッチ全体にわたる各ウエハセットにおけるウエハの測定厚さ(ALD完了後)を示す。図のように、測定厚さは、目的の厚さでありうる1,024.0Åとして開始し、最後のウエハセットで1,000.0Åまで下降する。4列目は、各ウエハセット処理後の、バッチ処理を通しての、チャンバの少なくとも1つの内面における蓄積量を示す。例えば、データの1行目では、第1のウエハセットの処理後に2,210.9Åの蓄積がチャンバ内部に存在すると見なされてよい。「サイクル数」という名の次の列は、目的の堆積厚さを達成するために必要なサイクル数と見なされうる、このバッチにおける各ウエハセットで実施されるサイクル数を表す。ここでは、このサイクル数は1,234サイクルであり、目的の厚さは1,024Åである。この基板のバッチは実験的/較正バッチであるため、1,234堆積サイクルは、表内の全てのウエハセットに対して実施されたが、それでも堆積層の厚さは、バッチを処理する過程で減少した。いくつかの実施形態では、1,234サイクルは、補償されていないサイクル数と見なされうる。バッチを通して蓄積が起こるため、目的の厚さに達するために異なるサイクル数(補償サイクル数)が必要である。
上記のように、このバッチ処理に続いて、測定厚さと関連する蓄積との関係は、例えば、多項式フィットをデータに適用することにより決定されてよく、その後、補償サイクル数を得て、蓄積を補償サイクル数と関連付けるために用いられてよい。ここで、多項式フィットは、xを蓄積として、yを正規化サイクル数としてデータに適用され、定数A、定数B、定数C、および定数Dは、A=1.03E−18、B=9.89E−13、C=9.91E−7、およびD=0.998となるように得られた。これらの定数の単数は、乗じる変数の単数の逆数であってよい。例えば、変数xがÅで測定され、方程式の変数がxnである場合、この変数を乗じた定数は、この乗法演算の結果を1未満にするために1/Ånである。ここで、定数A、定数B、定数C、および定数Dは、次の単数を有する:Aは1/Å3の単元を有し、Bは1/Å2の単元を有し、Cは1/Åの単元を有し、Dは無次元である。正規化サイクル数は、目的の厚さ(定数であって、この場合は1024Å)を実際の測定厚さ(図5の3列目)で割ることによって計算される。正規化サイクル数は、上記方程式(x×A+x×B+x×C+1×D)の一部を表す無名数値であって、目的の堆積厚さを達成するために必要なサイクル数を乗じることによって補償サイクル数を得るのに用いられる無名数値である。1より大きい正規化サイクル数は、補償サイクル数が目的の堆積厚さを達成するために必要なサイクル数より大きくなることを示し、減少する厚さ傾向を示す。1より小さい正規化サイクル数は、補償サイクル数が目的の堆積厚さを達成するために必要なサイクル数より小さくなることを示し、増加する厚さ傾向を示す。パラメータA、パラメータB、パラメータC、およびパラメータDは、較正バッチから決定されると、同じプロセス条件で後続の非実験的/較正バッチの補償サイクル数を決定するために用いられる。これらの定数は、上記の方程式である、[ALDサイクルの補償数]=[目的の堆積厚さを達成するために必要なサイクル数]×(x×A+x×B+x×C+1×D)を用いて、あらゆる既知の蓄積値の補償サイクル数を得るために用いられてよい。
上記のように、基板のバッチ処理の間に所定量の蓄積の補償サイクル数を得るために、基準量の蓄積(例えば、実質的に蓄積なし)に応じて、正規化サイクル数をチャンバ内で目的の堆積厚さを達成するために必要なサイクル数で乗じる。図5では、補償サイクル数の列は、表に記載されている蓄積値が実験的/較正バッチの間に決定されたとしても、それらの蓄積量を前提として基板のバッチの補償サイクル数を提供する。ここで、目的の堆積厚さを達成するために必要なサイクル数は、実験的/較正バッチで用いられたサイクル数の1,234である。この後のバッチにおける蓄積値が第5のウエハセットから6,306.9Åである場合は、1,234サイクルを正規化サイクル数の1.004で乗じて、1,239サイクルの補償サイクル数になる。従って、この既知の蓄積値について、この補償サイクル数は、実験的/較正バッチの間に堆積した1,019.8Åに対して、1,024Åの堆積厚さをもたらすように実行されてよい。図からわかるように、実験的/較正バッチと同じプロセス条件を用いる基板の非実験的/較正バッチでは、補償サイクル数は基板のバッチを処理する過程で増加し、減少する厚さ傾向を補償する。
図4に戻ると、補償サイクル数が得られた後に、現在処理されている1つ以上の基板に補償数のサイクルが実施されてよい。いくつかの実施形態では、ブロック409ないしブロック413は、バッチにおける1つ以上の基板、または1セット以上の基板に実施されてよい。例えば、ブロック409〜413は、バッチの各基板または各基板セットに実施されてよい。いくつかのそのような実施形態では、ブロック409ないしブロック413は、ウエハのバッチの実際の処理の間に実施されてよいが、いくつかの他の実施形態では、ブロック409およびブロック411は、基板のバッチの処理前に実施されてよく、ブロック413は、バッチに対して実施される。例えば、基板のバッチの蓄積量は、蓄積と厚さとの対応関係と共に、バッチの処理前の補償サイクル数を決定するために用いられうる基板のバッチを処理する前に知られ決定された値であってよい。基板のバッチは、次に、この情報を用いて処理されてよい。
補償サイクル数を用いて1つ以上の基板が処理された後に、その基板は、目的の厚さに実質的に一致する(例えば、+/−0.05%以内)堆積層厚さを有してよい。いくつかの実施形態では、堆積層は、酸化シリコン膜または窒化シリコン膜であってよい。
基板のバッチ処理の間に、蓄積限界に達したかどうかの決定がなされてよい。上記のように、蓄積限界に達すると、バッチの処理は停止し、チャンバは洗浄されてよい。
本明細書に記載の技術は、任意の適した装置またはシステムで実施されてよい。適した装置またはシステムは、本発明に従って、プロセス動作を遂行するためのハードウェアと、プロセス動作を制御するための命令を有するシステムコントローラとを備える。いくつかの実施形態では、ハードウェアは、プロセスツールに含まれる1つ以上のプロセスステーションを備えてよい。
図6は、ALDプロセスステーション600の実施形態を概略的に示す。簡潔にするために、プロセスステーション600は、低圧環境を維持するためのプロセスチャンバ本体602を有する独立型のプロセスステーションとして描かれている。しかし、一般的なプロセスツール環境には複数のプロセスステーション600が含まれうることが認識されるだろう。例えば、図7は、マルチステーション処理ツール700の実施形態を表す。さらに、いくつかの実施形態では、上記で詳細に説明されたものを含むプロセスステーション600の1つ以上のハードウェアパラメータは、1つ以上のコンピュータコントローラによってプログラムに従って調節されてよいことが認識されるだろう。
ALDプロセスステーション600は、プロセスガスを分配シャワーヘッド606に供給するための反応剤供給システム601と流体連通する。反応剤供給システム601は、シャワーヘッド606への供給のためにプロセスガスを混合および/または調節するための混合容器604を備える。1つ以上の混合容器吸入弁620は、プロセスガスの混合容器604への導入を制御し、別の弁605は、混合容器からシャワーヘッド606への流れを制御してよい。
BTBASのようないくつかの反応剤は、プロセスステーションにおける気化、および、それに続くプロセスステーションへの供給に先立って、液状で保管されてよい。例えば、図6の実施形態は、混合容器604に供給される液体反応剤を気化するための気化地点603を含む。いくつかの実施形態では、気化地点603は、加熱気化器であってよい。そのような気化器から生成された飽和反応剤蒸気は、下流の供給管で凝縮してよい。凝縮反応剤への不適合のガスの曝露は、小粒子を生成しうる。これらの小粒子は、配管を詰まらせ、弁動作を妨げ、基板を汚染するなどの可能性がある。これらの問題に対応するいくつかの手法は、残留反応剤を除去するために供給管を清掃および/または排気することを含む。しかし、供給管を清掃することは、プロセスステーションのサイクルタイムを増加させ、プロセスステーションのスループットを低下させる可能性がある。よって、いくつかの実施形態では、気化地点603の下流の供給管は、ヒートトレースされてよい。いくつかの例では、混合容器604もヒートトレースされてよい。非限定的な一例では、気化地点603の下流の配管は、混合容器604において約100℃から約150℃にわたる高い温度プロファイルを有する。
いくつかの実施形態では、反応剤の液体は、液体注入器で気化されてよい。例えば、液体注入器は、液体反応剤のパルスを混合容器上流のキャリアガス流に注入してよい。1つのシナリオでは、液体注入器は、液体を高圧から低圧に素早く移すことで反応剤を気化しうる。別のシナリオでは、液体注入器は、液体を分散微液滴に霧化してよく、分散微液滴は次に、加熱された供給管で気化される。小さな液滴はより大きな液滴より速く気化することができ、液体注入と完全気化との間の遅延は低減されることが認識されるだろう。より速い気化は、気化地点603から下流の配管の長さを低減しうる。1つのシナリオでは、液体注入器は、混合容器604に直接取り付けられてよい。別のシナリオでは、液体注入器は、シャワーヘッド606に直接取り付けられてよい。
いくつかの実施形態では、気化地点603上流の液体流コントローラは、気化のための液体の質量流量およびプロセスステーション600への供給を制御するために設置されてよい。例えば、液体流量コントローラ(LFC)は、LFCの下流に位置する熱質量流量計(MFM)を備えてよい。次に、LFCのプランジャ弁は、MFMと電気連通する比例−積分−微分(PID)コントローラによって提供されるフィードバック制御信号に応答して調節されてよい。しかし、フィードバック制御を用いて液体流を安定させるには1秒以上かかる可能性がある。これは、液体反応剤を注入するための時間を延ばす可能性がある。よって、いくつかの実施形態では、LFCは、フィードバック制御モードと直接制御モードとの間で動的に切り替えられてよい。いくつかの実施形態では、LFCは、LFCの検知管およびPIDコントローラを停止することによって、フィードバック制御モードから直接制御モードに動的に切り替えられてよい。
シャワーヘッド606は、プロセスガスを基板612に向かって分配する。図6に示される実施形態では、基板612は、シャワーヘッド606の下方に位置し、台座608の上に乗った状態で示されている。シャワーヘッド606は、任意の適した形状を有してよく、プロセスガスを基板612に分配するためのポートを、任意の適した数および任意の配置で有してよいことが認識されるだろう。特定の実施形態では、シャワーヘッドは、2つ以上のガスを異なる温度で供給するように構成されている。そのようなシャワーヘッドの例は、それらの全てが参照により本明細書に援用される、2013年7月3日出願の「MULTI−PLENUM, DUAL−TEMPERATURE SHOWERHEAD」と題した米国特許出願第13/934,597号(現在の米国特許第9,677,176号)、2016年5月24日出願の「ANTI−TRANSIENT SHOWERHEAD」と題した米国特許出願第15/163,594号、および、2015年9月10日出願の「LOW VOLUME SHOWERHEAD WITH FACEPLATE HOLES FOR IMPROVED FLOW UNIFORMITY」と題した米国特許出願第14/850,816号にさらに記載されている。
いくつかの実施形態では、シャワーヘッド606の下方にマイクロボリューム607が設置される。CFDプロセスをプロセスステーションの全ボリュームではなくマイクロボリュームで実施することは、反応剤の曝露およびスイープ時間の短縮、プロセス条件(圧力、温度など)を変更するための時間の短縮、プロセスステーションのロボットのプロセスガスへの曝露の限定などを可能にする。マイクロボリュームの例は、0.1リットルから2リットルの間のボリュームを含むがそれに限定されない。
いくつかの実施形態では、台座608は、基板612をマイクロボリューム607に曝露するため、および/または、マイクロボリューム607のボリュームを変更するために昇降されうる。例えば、基板搬送段階では、台座608は、基板612が台座608の上に搭載されるように下降されてよい。ALDプロセス段階の間に、台座608は、基板612をマイクロボリューム607内に位置決めするために上昇されてよい。いくつかの実施形態では、マイクロボリューム607は、ALDプロセスの間、高流量インピーダンスの領域を形成するために、基板612だけでなく台座608の一部も完全に包囲してよい。
必要に応じて、台座608は、マイクロボリューム607内のプロセス圧、反応剤濃度などを調節するために、ALDプロセスの一部の間に下降および/または上昇されてよい。プロセスチャンバ本体602がプロセス中に基準圧で留まる1つのシナリオでは、台座608を下降させることでマイクロボリューム607が排気されてよい。マイクロボリュームのプロセスチャンバボリュームに対する比率例は、1:500から1:10の間のボリューム比を含むがそれに限定されない。いくつかの実施形態では、台座高さは、適したコンピュータコントローラによってプログラムに応じて調節されてよいことが認識されるだろう。
別のシナリオでは、台座608の高さを調節することは、ALDプロセスに含まれるプラズマ活性化および/または処理サイクルの間にプラズマ濃度が変化することを可能にしてよい。ALDプロセス段階の終了時に、台座608は、台座608からの基板612の除去を可能にするために、別の基板の搬送段階の間に下降されてよい。
本明細書に記載のマイクロボリューム変化の例は高さ調節可能な台座を意味するが、いくつかの実施形態では、シャワーヘッド606の位置は、マイクロボリューム607のボリュームを変更するように台座608に対して調節されてよいことが認識されるだろう。さらに、台座608および/またはシャワーヘッド606の垂直位置は、本開示の範囲内で任意の適した機構によって変更されてよいことが認識されるだろう。いくつかの実施形態では、台座608は、基板612の配向を回転させるための回転軸を備えてよい。いくつかの実施形態では、これらの調節例の1つ以上は、1つ以上の適したコンピュータコントローラによってプログラムに応じて実施されてよいことが認識されるだろう。
図6に示された実施形態に戻ると、シャワーヘッド606および台座608は、プラズマに給電するためのRF電源614および整合ネットワーク616と電気的に連通する。複数のステーションにRFを印加するための方法および装置は、それらの全てが参照として本明細書に援用される、2014年8月12日出願の「MULTI−STATION PLASMA REACTOR WITH RF BALANCING」と出した米国特許出願第14/458,135号、および、2016年4月29日出願の「VARIABLE CYCLE AND TIME RF ACTIVATION METHOD FOR FILM THICKNESS MATCHING IN A MULTI−STATION DEPOSITION SYSTEM」と題した米国特許出願第15/143,338号においてさらに説明されている。いくつかの実施形態では、プラズマエネルギは、プロセスステーション圧、ガス濃度、RF源電力、RF源周波数、およびプラズマ電力パルスタイミングのうちの1つ以上を制御することによって制御されてよい。例えば、RF電源614および整合ネットワーク616は、所望の組成のラジカル種を有するプラズマを形成するために、任意の適した電力で操作されてよい。適した電力の例は、上記に含まれる。同様に、RF電源614は、任意の適した周波数のRF電力を提供してよい。いくつかの実施形態では、RF電源614は、互いに独立して高周波RF電源および低周波RF電源を制御するように構成されてよい。低周波RF周波数の例は、50kHzから500kHzの間の周波数を含みうるがそれに限定されない。高周波RF周波数の例は、1.8MHzから2.45GHzの間の周波数を含みうるがそれに限定されない。任意の適したパラメータは、プラズマエネルギを表面反応のために提供するように離散的または連続的に調節されてよいことが認識されるだろう。非限定的な一例では、プラズマ電力は、基板表面とのイオン衝突を低減するため、連続的に給電されるプラズマに対して断続的に伝えられてよい。
いくつかの実施形態では、プラズマは、1つ以上のプラズマモニタによってin−situで監視されてよい。1つのシナリオでは、プラズマ電力は、1つ以上の電圧電流センサ(例えば、VIプローブ)によって監視されてよい。別のシナリオでは、プラズマ濃度および/またはプロセスガス濃度は、1つ以上の発光分光センサ(OES)によって測定されてよい。いくつかの実施形態では、1つ以上のプラズマパラメータは、そのようなin−situのプラズマモニタからの測定値に基づいてプログラムに応じて調節されてよい。例えば、OESセンサは、プラズマ電力のプログラム制御を提供するためのフィードバックループにおいて用いられてよい。いくつかの実施形態では、プラズマおよび他のプロセス特性を監視するのに他のモニタが用いられてよいことが認識されるだろう。そのようなモニタは、赤外線(IR)モニタ、音響モニタ、および圧力変換器を含んでよいがそれらに限定されない。
いくつかの実施形態では、プラズマは、入出力制御(IOC)配列命令によって制御されてよい。一例では、プラズマ活性化段階のためのプラズマ条件を設定するための命令は、プロセスレシピの対応するプラズマ活性化レシピ段階に含まれてよい。場合によっては、プロセスレシピ段階は、プロセス段階のための全ての命令がそのプロセス段階と同時に実行されるように連続して配置されてよい。いくつかの実施形態では、1つ以上のプラズマパラメータを設定するための命令は、プラズマプロセス段階に先行するレシピ段階に含まれてよい。例えば、第1のレシピ段階は、不活性ガスおよび/または反応ガスの流量を設定するための命令、プラズマ発生器を電力設定点に設定するための命令、および第1のレシピ段階のための時間遅延命令を含んでよい。続く第2のレシピ段階は、プラズマ発生器を作動させるための命令、および、第2のレシピ段階のための時間遅延命令を含んでよい。第3のレシピ段階は、プラズマ発生器を停止させるための命令、および、第3のレシピ段階のための時間遅延命令を含んでよい。これらのレシピ段階は、本開示の範囲内の任意の適した方法でさらに細分化されてよい、および/または繰り返されてよいことが認識されるだろう。
いくつかの堆積プロセスでは、プラズマ衝突は、期間内で約2秒以上継続する。本明細書に記載の特定の実施形態では、処理サイクルの間により短いプラズマ衝突が与えられてよい。これらは、約50マイクロ秒から1秒であってよく、0.25秒が特定の例である。そのような短いRFプラズマ衝突は、プラズマの迅速な安定化を必要とする。これを達成するために、プラズマ発生器は、周波数がフロートすることを許容されながらインピーダンス整合が特定の電圧にあらかじめ設定されるように構成されてよい。従来、高周波プラズマは、約13.56MHzのRF周波数で生成される。本明細書に記載の様々な実施形態では、周波数は、この標準値とは異なる値にフロートできる。インピーダンス整合を所定の電圧に固定しながら周波数をフロートさせることによって、プラズマは、もっとより迅速に安定化でき、それはALDサイクルに関連する超短時間プラズマ衝突を用いるときに重要となりうる。
いくつかの実施形態では、台座608は、ヒータ610によって温度制御されてよい。さらに、いくつかの実施形態では、プロセスステーション600の圧力制御は、バタフライ弁618によって提供されてよい。図6の実施形態で示されるように、バタフライ弁618は、下流の真空ポンプ(図示せず)によって提供された真空を調節する。しかし、いくつかの実施形態では、プロセスステーション600の圧力制御は、プロセスステーション600に導入される1つ以上のガスの流量を変更することによって調節されてもよい。
プロセスステーション600の内側面は、アンダーコート650で覆われる。アンダーコートで覆われる表面の例は、チャンバ壁602、チャンバの天井および床、台座608、ならびにシャワーヘッド606を含む。図6は、プロセスステーション600内部に基板612がある状態で示されているが、この基板612は、アンダーコートの堆積中には存在しない。代わりに、基板612は、プロセスステーション600が基板612上への成膜に用いられる準備ができたときに、アンダーコートが堆積した後にプロセスステーション600に導入される。これらの表面は、チャンバ602の壁、台座608、およびシャワーヘッド606の表面を含む、蓄積が堆積されるチャンバ内部の表面とみなされてもよい。
上述のように、マルチステーション処理ツールには1つ以上のプロセスステーションが含まれてよい。図7は、マルチステーション処理ツール700の実施形態の概略図を表す。ツール700は、複数の基板プロセスステーションを含む単一基板処理チャンバ714を採用し、複数の基板プロセスステーションの各々は、そのプロセスステーションのウエハホルダ(例えば、台座)に保持された基板上で処理動作を実施するために用いられてよい。この特定の実施形態では、マルチステーション基板処理装置700は、4つのプロセスステーション、プロセスステーション701、プロセスステーション702、プロセスステーション703、およびプロセスステーション704を有するように示されている。他の類似のマルチステーション処理装置は、実施形態、および、例えば、所望のレベルの平行ウエハ処理、大きさ/空間制約、コスト制約などに応じて、より多くのまたはより少ない数の処理ステーションを有してよい。図2には、基板ハンドラロボット706およびコントローラ750も示されている。
図7に示されるように、マルチステーション処理ツール700は、基板搭載ポート720、および、基板を、ポッド708によって搭載されたカセットから大気ポート720を通って処理チャンバ714内の4つのプロセスステーション、プロセスステーション701、プロセスステーション702、プロセスステーション703、およびプロセスステーション704の1つに移動するように構成されたロボット706を有する。
図7に示される図の処理チャンバ714は、4つのプロセスステーション、プロセスステーション701、プロセスステーション702、プロセスステーション703、およびプロセスステーション704を設けている。RF電力は、RF電力システム713で生成され、プロセスステーション701、プロセスステーション702、プロセスステーション703、およびプロセスステーション704の各々に分配される。RF電力システムは、1つ以上のRF電源(例えば、高周波(HFRF)源および低周波(LFRF)源、インピーダンス整合モジュール、およびフィルタ)を備えてよい。特定の実施形態では、電源は、高周波源または低周波源のみに限定されてよい。RF電力システムの分配システムは、リアクタに対して対称であり、高インピーダンスを有してよい。この対称性およびインピーダンスは、各ステーションに供給されるほぼ等しい電力量をもたらす。いくつかの実施形態では、RF電力システムは、各ステーションに独立して電力を供給するように構成されよい。
図7は、処理チャンバ714内のプロセスステーション701、プロセスステーション702、プロセスステーション703、およびプロセスステーション704の間で基板を搬送するための基板搬送装置790の実施形態も表す。任意の適した基板搬送装置が用いられてよいことが認識されるだろう。非限定的な例は、ウエハカルーセルおよびウエハハンドリングロボットを含む。
システムコントローラ
図7は、プロセスツール700のプロセス条件およびハードウェア状態を制御するために用いられるシステムコントローラ750の実施形態も表す。システムコントローラ750は、1つ以上の記憶装置756、1つ以上の大容量記憶装置754、および1つ以上のプロセッサ752を含んでよい。プロセッサ752は、CPUまたはコンピュータ、アナログおよび/またはデジタルの入出力接続、ステッパモータコントローラ基板などを備えてよい。
いくつかの実施形態では、システムコントローラ750は、プロセスツール700の全ての動作を制御する。システムコントローラ750は、大容量記憶装置754に格納され、記憶装置756にロードされ、プロセッサ752で実行されたシステム制御ソフトウェア758を実行する。システム制御ソフトウェア758は、タイミング、ガスの混合、チャンバ圧および/またはステーション圧、チャンバ温度および/またはステーション温度、ウエハ温度、目的電力レベル、RF電力レベル、RF曝露時間、基板台座、チャック位置および/またはサセプタ位置、1つ以上の基板で実施されるサイクル数、ならびにプロセスツール700によって実施される特定のプロセスの他のパラメータを制御するための命令を含んでよい。これらのプログラムされたプロセスは、チャンバ内部の表面への蓄積量を決定することに関するプロセス、サイクル数を含み、補償サイクル数の決定および獲得を含む基板への成膜に関するプロセス、ならびにチャンバの洗浄に関するプロセスを含むがそれらに限定されない様々な種類のプロセスを含んでよい。システム制御ソフトウェア758は、任意の適した方法で構成されてよい。例えば、様々なプロセスツール部品のサブルーチンまたは制御オブジェクトは、様々なプロセスツールプロセスを実行するために必要なプロセスツール部品の動作を制御するように書き込まれてよい。システム制御ソフトウェア758は、任意の適したコンピュータ可読プログラミング言語でコード化されてよい。
いくつかの実施形態では、システム制御ソフトウェア758は、上述の様々なパラメータを制御するための入出力制御(IOC)シークエンシング命令を含んでよい。例えば、基板上での堆積および堆積サイクルの各段階は、システムコントローラ750による実行のための1つ以上の命令を含んでよい。ALD/CFD堆積プロセス段階のためのプロセス条件を設定するための命令は、対応するALD/CFD堆積レシピ段階に含まれてよい。いくつかの実施形態では、レシピ段階は、プロセス段階のための全ての命令がそのプロセス段階と同時に実行されるように連続して配置されてよい。
いくつかの実施形態では、システムコントローラ750に関連付けられた大容量記憶装置754および/または記憶装置756に格納された他のコンピュータソフトウェアおよび/または他のコンピュータプログラムが用いられてよい。この目的のためのプログラムまたはプログラムセクションの例は、基板位置決めプログラム、プロセスガス制御プログラム、圧力制御プログラム、ヒータ制御プログラム、およびプラズマ制御プログラムを含む。
基板位置決めプログラムは、基板を台座718に搭載するのに用いられ、基板とプロセスツール700の他の部品との間の空間を制御するために用いられるプロセスツール部品のためのプログラムコードを含んでよい。位置決めプログラムは、基板上に膜を堆積させ、チャンバを洗浄するために、必要に応じて基板を反応チャンバに対して適宜出し入れするための命令を含んでよい。
プロセスガス制御プログラムは、ガス組成および流量を制御するためのコード、および、プロセスステーション内の圧力を安定化するために必要に応じて堆積より前にガスを1つ以上のプロセスステーションに流すためのコードを含んでよい。いくつかの実施形態では、プロセスガス制御プログラムは、反応チャンバ内の基板上への膜形成の間にガスを導入するための命令を含む。これは、基板のバッチ内の1つ以上の基板について異なるサイクル数でガスを導入することを含んでよい。
圧力制御プログラムは、例えば、プロセスステーションの排気システムにおけるスロットル弁や、プロセスステーションへのガス流を調整することによって、プロセスステーション内の圧力を制御するためのコードを含んでよい。圧力制御プログラムは、バッチ処理の間の1つ以上の基板への異なるサイクル数の堆積の間に同じ圧力を維持するための命令を含んでよい。
ヒータ制御プログラムは、基板を加熱するのに用いられる加熱装置への電流を制御するためのコードを含んでよい。あるいは、ヒータ制御プログラムは、基板への伝熱ガス(ヘリウムなど)の供給を制御してよい。
プラズマ制御プログラムは、本明細書の実施形態に従って、1つ以上のプロセスステーションにおけるRF電力レベル、周波数、および曝露時間を設定するためのコードを含んでよい。いくつかの実施形態では、プラズマ制御プログラムは、バッチ処理の間の1つ以上の基板への異なるサイクル数の堆積中に、同じRF電力レベル、および/または周波数、および/または曝露時間を用いるための命令を含んでよい。
いくつかの実施形態では、システムコントローラ750と関連付けられたユーザインタフェースがあってよい。ユーザインタフェースは、表示画面、装置および/またはプロセス条件のグラフィックソフトウェア画面、ならびに、ポインティングデバイス、キーボード、タッチ画面、マイクなどのユーザ入力デバイスを含んでよい。
いくつかの実施形態では、システムコントローラ750によって調節されるパラメータは、プロセス条件に関連してよい。非限定的な例は、プロセスガスの組成および流量、温度、圧力、プラズマ条件(例えば、RFバイアス電力レベル、曝露時間)などを含む。これらのパラメータは、ユーザインタフェースを用いて入力されうるレシピの形式でユーザに提供されてよい。基板のバッチ全体のレシピは、バッチを処理する過程における厚さ傾向を補償するために、バッチ内の1つ以上の基板についての補償サイクル数を含んでよい。
プロセスを監視するための信号は、システムコントローラ750のアナログおよび/またはデジタルの入力接続によって様々なプロセスツールセンサから提供されてよい。プロセスを制御するための信号は、プロセスツール700のアナログおよびデジタルの出力接続で出力されてよい。監視されうるプロセスツールセンサの非限定的な例は、マスフローコントローラ、圧力センサ(圧力計など)、熱電対などを含む。センサは、チャンバ内部の1つ以上の表面への蓄積、および/または、チャンバ内の基板上の材料層の厚さを監視ならびに決定するために設けられ、用いられてもよい。適切にプログラムされたフィードバックアルゴリズムおよび制御アルゴリズムは、プロセス条件を維持するためにこれらのセンサからのデータと共に用いられてよい。
システムコントローラ750は、上述の堆積プロセスを実施するためのプログラム命令を提供してよい。プログラム命令は、DC電力レベル、RFバイアス電力レベル、圧力、温度、基板についてのサイクル数、チャンバ内部の少なくとも1つの表面への蓄積量などの様々なプロセスパラメータを制御してよい。命令は、本明細書に記載の様々な実施形態に従って、膜積層のin−situの堆積を操作するようにパラメータを制御してよい。
例えば、システムコントローラは、堆積チャンバ内部の少なくとも1つの内部領域への現在の蓄積した堆積物の量を決定すること、堆積チャンバ内部の内部領域への現在蓄積した堆積物の量を前提として目的の堆積厚さを生成するための補償の数のALDサイクルを得るために、(a)で決定された蓄積した堆積物の量、またはそこから導出したパラメータを、(i)目的の堆積厚さを達成するために必要なALDサイクル数と(ii)蓄積した堆積物の量を表す変数との関係に適用すること、および、基板のバッチにおける1つ以上の基板に補償の数のALDサイクルを実施することなどの、本明細書に記載の技術を実施するための制御ロジックを含んでよい。システムは、チャンバ内の蓄積が蓄積限界に達したことを決定し、その決定に応答して基板のバッチの処理を停止するための制御ロジック、および、チャンバ内部の洗浄を行わせるための制御ロジックを含んでもよい。
システムコントローラは、通常、1つ以上の記憶装置、および、装置が本発明に従った方法を実施できるように命令を実行するよう構成された1つ以上のプロセッサを備えるだろう。本発明に従ってプロセス動作を制御するための命令を含む機械可読非一時的媒体は、システムコントローラに結合されてよい。
いくつかの実施形態では、コントローラは、上述の例の一部でありうるシステムの一部である。そのようなシステムは、処理ツール、チャンバ、処理用プラットフォーム、および/または、特定の処理部品(ウエハ台座、ガス流システムなど)を含む、半導体処理装置を備えうる。これらのシステムは、半導体ウエハまたは基板の処理前、処理中、および処理後の動作を制御するための電子機器と統合されてよい。電子機器は、システムの様々な部品または副部品を制御しうる「コントローラ」を意味してよい。コントローラは、処理条件および/またはシステムの種類に応じて、基板上で実施されるサイクル数、プロセスガスの供給、温度設定(例えば、加熱および/または冷却)、圧力設定、真空設定、電力設定、無線周波数(RF)生成器の設定、RF整合回路設定、周波数設定、流量設定、流体供給設定、位置動作設定、ツールおよび他の搬送ツール、および/または、特定のシステムに接続もしくは結合されたロードロックに対するウエハ搬送を含む、本明細書に開示のプロセスを制御するようにプログラムされてよい。
概して、コントローラは、命令を受け取り、命令を発行し、動作を制御し、洗浄動作を可能にし、エンドポイント測定を可能にするなどの様々な集積回路、ロジック、記憶装置、および/または、ソフトウェアを有する電子機器として定義されてよい。集積回路は、プログラム命令を記憶するファームウェア形式のチップ、デジタル信号プロセッサ(DSP)、特定用途向け集積回路(ASIC)として定義されるチップ、および/または、プログラム命令(例えば、ソフトウェア)を実行する1つ以上のマイクロプロセッサ、もしくは、マイクロコントローラを含んでよい。プログラム命令は、様々な個別設定(または、プログラムファイル)の形式でコントローラに伝達される命令であって、特定のプロセスを半導体ウエハ上でもしくは半導体ウエハ向けに、またはシステムに対して実行するための動作パラメータを定義してよい。いくつかの実施形態では、動作パラメータは、プロセスエンジニアによって定義されるレシピの一部であって、1つ以上の層、材料、金属、酸化物、シリコン、二酸化シリコン、表面、回路、および/または、ウエハダイの製造中における1つ以上の処理工程を実現してよい。
いくつかの実施形態では、コントローラは、システムと統合または結合された、そうでなければシステムにネットワーク接続された、もしくはこれらが組み合わされたコンピュータの一部であってよく、またはそのコンピュータに結合されてよい。例えば、コントローラは、「クラウド」内にあってよい、または、ウエハ処理のリモートアクセスを可能にするファブホストコンピュータシステムの全てもしくは一部であってよい。コンピュータは、システムへのリモートアクセスを可能にして、製造動作の進捗状況を監視し、過去の製造動作の経歴を調査し、複数の製造動作から傾向または実施の基準を調査し、現在の処理のパラメータを変更し、現在の処理に続く処理工程を設定し、または、新しいプロセスを開始してよい。いくつかの例では、リモートコンピュータ(例えば、サーバ)は、ローカルネットワークまたはインターネットを含みうるネットワークを通じて、プロセスレシピをシステムに提供できる。リモートコンピュータは、次にリモートコンピュータからシステムに伝達されるパラメータおよび/もしくは設定のエントリまたはプログラミングを可能にするユーザインタフェースを含んでよい。いくつかの例では、コントローラは、1つ以上の動作中に実施される各処理工程のためのパラメータを特定するデータ形式の命令を受け取る。パラメータは、実施されるプロセスの種類、および、コントローラが接続するまたは制御するように構成されたツールの種類に固有であってよいことを理解されたい。よって、上述のように、コントローラは、例えば、互いにネットワーク接続される1つ以上の個別のコントローラを含むことや、本明細書に記載のプロセスや制御などの共通の目的に向かって協働することによって分散されてよい。そのような目的で分散されたコントローラの例は、遠隔に(例えば、プラットフォームレベルで、または、リモートコンピュータの一部として)位置し、協働してチャンバにおけるプロセスを制御する1つ以上の集積回路と連通する、チャンバ上の1つ以上の集積回路であろう。
制限するのではなく、例示のシステムは、プラズマエッチングチャンバまたはプラズマエッチングモジュール、堆積チャンバまたは堆積モジュール、スピンリンスチャンバまたはスピンリンスモジュール、金属めっきチャンバまたは金属めっきモジュール、クリーンチャンバまたはクリーンモジュール、ベベルエッジエッチングチャンバまたはベベルエッジエッチングモジュール、物理気相堆積(PVD)チャンバまたはPVDモジュール、化学気相堆積(CVD)チャンバまたはCVDモジュール、原子層堆積(ALD)チャンバまたはALDモジュール、原子層エッチング(ALE)チャンバまたはALEモジュール、イオン注入チャンバまたはイオン注入モジュール、トラックチャンバまたはトラックモジュール、ならびに、半導体ウエハの製作および/もしくは製造において関連もしくは使用しうる他の半導体処理システムを含んでよい。
上述のように、ツールによって実施されるプロセス工程に応じて、コントローラは、他のツール回路もしくはツールモジュール、他のツール部品、クラスタツール、他のツールインタフェース、隣接するツール、近接するツール、工場全体に設置されたツール、メインコンピュータ、別のコントローラ、または、半導体製造工場においてツール位置および/もしくはロードポートに対してウエハ容器を搬入出する材料搬送に用いられるツール、のうちの1つ以上と連通しうる。
上述の様々なハードウェアおよび方法の実施形態は、リソグラフィパターニングツールまたはリソグラフィパターニングプロセス(例えば、半導体デバイス、表示画面、LED、太陽電池パネルなどの製作または製造)と併せて用いられてよい。通常、必ずしもそうではないが、そのようなツール/プロセスは、共通の製作施設で共に用いられるまたは実行されるだろう。
膜のリソグラフィパターニングは、次のいくつかまたは全ての工程を含み、各工程は、可能な数のツールで実行されうる:(1)スピンオンツールまたはスプレイオンツールを用いるワークピース(例えば、上に窒化シリコン膜が形成された基板)へのフォトレジストの塗布、(2)ホットプレート、炉、または他の適した硬化ツールを用いるフォトレジストの硬化、(3)ウエハステッパなどのツールを用いるフォトレジストの可視光、UV光、またはX線光への曝露、(4)ウェットベンチまたはスプレイ現像薬などのツールを用いてレジストを選択的に除去してレジストをパターニングするためのレジストの現像、(5)ドライエッチングツールまたはプラズマ支援エッチングツールを用いるレジストパターンの下地膜またはワークピースへの転写、および(6)RFレジスト剥離剤またはマイクロ波プラズマレジスト剥離剤などのツールを用いるレジストの除去。いくつかの実施形態では、アッシング可能なハードマスク(非晶質炭素層など)および別の適したハードマスク(反射防止層など)は、フォトレジストの塗布より前に堆積されてよい。
本明細書に記載の構成および/または手法は、多くの変更が可能なため、本質的に例示であり、これらの特定の実施形態または例は、限定的な意味で考えられるべきではない。本明細書に記載の特定のルーチンまたは方法は、1つ以上の任意の数の処理計画を表してよい。そのため、図の様々な動作は、図の順序で、他の順序で、平行して、または、場合によっては省略されて実施されてよい。同様に、上述のプロセスの順序は変更されてよい。
本開示の主題は、本明細書に開示の様々なプロセス、システムおよび構成、ならびに、他の特徴、機能、動作、および/または特性、ならびにそのあらゆる全ての同等物の、全ての新規で非自明な組み合わせおよび部分的組み合わせを含む。
本開示の内容が明確に要求しない限り、本記載および本請求項を通して、「含む」などの用語は、排他的または網羅的な意味ではなく包括的な意味、すなわち、「含むが限定されない」の意味で解釈されるべきである。単数形または複数形を用いる用語も、一般に、それぞれその単数またはその複数を含む。2つ以上の項目の一覧を参照して「または」の用語が用いられるときは、その用語は、一覧のいずれかの項目、一覧の全ての項目、および一覧の項目のあらゆる組み合わせ、の全ての用語の解釈を網羅する。「実施」の用語は、本明細書に記載の技術および方法の実施だけでなく、本明細書に記載の構造を具体化する、ならびに/または、本明細書に記載の技術および/もしくは方法を組み込む物理的対象も意味する。

Claims (20)

  1. 堆積チャンバにおいて原子層堆積を実施する方法であって、
    (a)堆積チャンバ内部の少なくとも1つの内部領域への現在の蓄積した堆積物の量を決定することであって、前記蓄積した堆積物の量は、基板のバッチを処理する過程で変化することと、
    (b)(a)で決定された前記蓄積した堆積物の量、またはそこから導出したパラメータを、(i)目的の堆積厚さを達成するために必要なALDサイクルの数と(ii)蓄積した堆積物の量を表す変数との関係に適用することであって、前記適用することは、前記堆積チャンバ内部の前記内部領域への現在の前記蓄積した堆積物の量を前提として、前記目的の堆積厚さを生成するための補償ALDサイクル数を返すことと、
    (c)前記補償ALDサイクル数を前記基板のバッチにおける1つ以上の基板で実施することと、
    を含む、方法。
  2. 請求項1に記載の方法であって、
    前記蓄積した堆積物の量を決定することは、実施されたALDサイクル数、および、ALDサイクルごとの蓄積した堆積物の予測量を用いることによって、前記蓄積した堆積物の量を計算することを含む、方法。
  3. 請求項1に記載の方法であって、
    前記蓄積した堆積物の量は、基板のバッチを処理する過程で実質的に線形的に変化する、方法。
  4. 請求項1に記載の方法であって、
    前記蓄積した堆積物の量は、ALDサイクル数によって実質的に線形的に変化する、方法。
  5. 請求項1に記載の方法であって、
    前記蓄積した堆積物の量を決定することは、前記蓄積した堆積物の量をin−situで測定することを含む、方法。
  6. 請求項1に記載の方法であって、
    前記関係は、基板のバッチを処理する過程でその基板のバッチの基板の厚さ傾向に少なくとも部分的に基づく、方法。
  7. 請求項1から請求項6のいずれか1項に記載の方法であって、
    前記関係は、少なくとも1つの処理された基板のバッチのデータに少なくとも部分的に基づき、前記処理された基板のバッチにおける前記基板の各々に同数の堆積サイクルが実施され、前記データは、前記処理された基板のバッチにおける複数の基板の厚さ、および、前記複数の基板における前記基板の各々について蓄積した堆積物の対応する量を含む、方法。
  8. 請求項7に記載の方法であって、
    前記関係は、前記データの多項式フィットである、方法。
  9. 請求項1から請求項6のいずれか1項に記載の方法であって、
    前記関係は、前記目的の堆積厚さを生成するための補償ALDサイクル数が前記蓄積した堆積物の量を表す前記変数の関数である多項式関係であり、前記蓄積した堆積物の量を表す前記変数は、べき乗される、方法。
  10. 請求項9に記載の方法であって、
    前記べき乗は3である、方法。
  11. 請求項9に記載の方法であって、
    前記多項式関係は、目的の堆積厚さを達成するために必要な前記ALDサイクル数を項の総数の関数として表し、前記項の少なくとも2つは、前記堆積チャンバ内部の前記内部領域への現在の前記蓄積した堆積量を表す、べき乗された前記変数を含む、方法。
  12. 請求項9に記載の方法であって、
    前記多項式関係は、[前記補償ALDサイクル数]=[目的の堆積厚さを達成するために必要な前記ALDサイクル数]×(x×A+x×B+x×C+1×D)として表され、xは、前記堆積チャンバ内部の前記内部領域への現在の前記蓄積した堆積量であり、A、B、C、およびDは、定数である、方法。
  13. 請求項1から請求項6のいずれか1項に記載の方法であって、さらに、
    (d)前記基板のバッチにおける前記基板の全てについて(a)から(c)を繰り返すことを含む、方法。
  14. 請求項1から請求項6のいずれか1項に記載の方法であって、さらに、
    (e)(c)の後に、前記1つ以上の基板を前記堆積チャンバから除去することを含み、
    前記1つ以上の基板は、前記目的の堆積厚さを有するALD堆積層を備える、方法。
  15. 請求項1から請求項6のいずれか1項に記載の方法であって、
    前記原子層堆積は、酸化シリコン膜または窒化シリコン膜を生成する、方法。
  16. システムであって、
    ALD堆積を実施するための堆積チャンバと、
    前記堆積チャンバ内の基板上に材料を堆積させるように前記堆積チャンバを制御するためのコントローラであって、前記コントローラは、
    (a)堆積チャンバ内部の少なくとも1つの内部領域への現在の蓄積した堆積物の量を決定するための制御ロジックであって、前記蓄積した堆積物の量は、基板のバッチを処理する過程で変化することと、
    (b)(a)で決定された前記蓄積した堆積物の量、またはそこから導出したパラメータを、(i)目的の堆積厚さを達成するために必要なALDサイクル数と(ii)前記蓄積した堆積物の量を表す変数との関係に適用するための制御ロジックであって、前記適用することは、前記堆積チャンバ内部の前記内部領域への現在の前記蓄積した堆積物の量を前提として、前記目的の堆積厚さを生成するための補償ALDサイクル数を返すことと、
    (c)前記補償ALDサイクル数を前記基板のバッチにおける1つ以上の基板で実施するための制御ロジックと、を含むコントローラと、
    を備える、システム。
  17. 請求項16に記載のシステムであって、
    前記堆積チャンバは、2つ以上の処理ステーションを備える、システム。
  18. 請求項16に記載のシステムであって、
    前記コントローラは、さらに、
    (d)前記堆積チャンバ内部の少なくとも1つの内部領域への現在の前記蓄積した堆積物の量が蓄積限界に達したという決定に応答して、前記基板のバッチの前記処理を停止するための制御ロジックと、
    (e)(d)の後に、前記堆積チャンバ内部を洗浄するための制御ロジックと、
    を含む、システム。
  19. 請求項16から請求項18のいずれか1項に記載のシステムであって、
    前記関係は、少なくとも1つの処理された基板のバッチのデータに少なくとも部分的に基づき、前記処理された基板のバッチにおける前記基板の各々に同数の堆積サイクルが実施され、前記データは、前記処理された基板のバッチにおける複数の基板の厚さ、および、前記複数の基板における前記基板の各々について蓄積した堆積物の対応する量を含む、システム。
  20. 請求項16から請求項18のいずれか1項に記載のシステムであって、
    前記蓄積した堆積物の量を決定することは、実施されたALDサイクル数、および、ALDサイクルごとの蓄積した堆積物の予測量を用いて、前記蓄積した堆積物の量を計算することを含む、システム。
JP2020515257A 2017-09-15 2018-09-07 ウエハ同士の膜厚合わせのためのチャンバ蓄積に応じた堆積サイクル数の調節による厚さ補償 Pending JP2020534689A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762559434P 2017-09-15 2017-09-15
US62/559,434 2017-09-15
US15/785,093 2017-10-16
US15/785,093 US10697059B2 (en) 2017-09-15 2017-10-16 Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
PCT/US2018/049864 WO2019055296A1 (en) 2017-09-15 2018-09-07 THICKNESS COMPENSATION BY MODULATING THE NUMBER OF DEPOSITION CYCLES AS A FUNCTION OF ACCUMULATION IN A CHAMBER ENABLING WAFER TRENCH FILM THICKNESS MATCHING

Publications (1)

Publication Number Publication Date
JP2020534689A true JP2020534689A (ja) 2020-11-26

Family

ID=65721023

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020515257A Pending JP2020534689A (ja) 2017-09-15 2018-09-07 ウエハ同士の膜厚合わせのためのチャンバ蓄積に応じた堆積サイクル数の調節による厚さ補償

Country Status (7)

Country Link
US (2) US10697059B2 (ja)
JP (1) JP2020534689A (ja)
KR (1) KR20200043499A (ja)
CN (1) CN111133554B (ja)
SG (1) SG11202002269QA (ja)
TW (1) TW201923138A (ja)
WO (1) WO2019055296A1 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
KR102323248B1 (ko) * 2015-03-25 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
CN114746577A (zh) * 2019-12-04 2022-07-12 朗姆研究公司 压强批量补偿以稳定修整和沉积工艺的cd变化
US20230038880A1 (en) * 2020-01-23 2023-02-09 Lam Research Corporation Protective coating for a semiconductor reaction chamber
TW202211534A (zh) 2020-04-09 2022-03-16 南韓商Lg新能源股份有限公司 包括模組匯流排之電池模組、包括其之電池組以及電子元件
CN112458440B (zh) 2020-11-18 2022-11-25 北京北方华创微电子装备有限公司 半导体工艺设备及其反应腔室和膜层沉积方法
US20220189741A1 (en) * 2020-12-10 2022-06-16 Asm Ip Holding B.V. Methods and systems for depositing a layer
CN113046731B (zh) * 2021-03-09 2023-09-01 上海华虹宏力半导体制造有限公司 化学气相沉积方法
CN113073312B (zh) * 2021-03-25 2022-09-16 北京北方华创微电子装备有限公司 半导体工艺设备

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121332A (ja) 1991-10-24 1993-05-18 Canon Inc 機能性堆積膜の形成方法及び形成装置
JP2978974B2 (ja) 1996-02-01 1999-11-15 キヤノン販売株式会社 プラズマ処理装置
US6051284A (en) 1996-05-08 2000-04-18 Applied Materials, Inc. Chamber monitoring and adjustment by plasma RF metrology
JP2001007089A (ja) 1999-06-25 2001-01-12 Matsushita Electric Ind Co Ltd プラズマ処理方法及び装置
JP2001073132A (ja) 1999-08-31 2001-03-21 Matsushita Electric Ind Co Ltd スパッタ方法及びその装置
US6860965B1 (en) 2000-06-23 2005-03-01 Novellus Systems, Inc. High throughput architecture for semiconductor processing
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7160521B2 (en) 2001-07-11 2007-01-09 Applied Materials, Inc. Treatment of effluent from a substrate processing chamber
JP2004068091A (ja) 2002-08-07 2004-03-04 Matsushita Electric Ind Co Ltd 成膜処理装置および成膜処理方法
CN102191483B (zh) * 2003-04-23 2012-10-03 艾克斯特朗公司 瞬时增强原子层沉积
US20050019963A1 (en) * 2003-07-21 2005-01-27 Texas Instruments Incorporated Maintaining a reactor chamber of a chemical vapor deposition system
DE602004026889D1 (de) 2003-09-19 2010-06-10 Akzo Nobel Nv Metallisierung von substrat(en) durch ein flüssigkeit/ - dampfabscheidungsverfahren
US20060137609A1 (en) 2004-09-13 2006-06-29 Puchacz Jerzy P Multi-single wafer processing apparatus
EP1824960A2 (en) 2004-11-22 2007-08-29 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7459175B2 (en) 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7479191B1 (en) 2005-04-22 2009-01-20 Novellus Systems, Inc. Method for endpointing CVD chamber cleans following ultra low-k film treatments
JP4492963B2 (ja) * 2005-06-14 2010-06-30 ルネサスエレクトロニクス株式会社 薄膜の成膜方法、気相成長装置、プログラム
KR20070000279A (ko) 2005-06-27 2007-01-02 삼성전자주식회사 원자층 증착설비 및 이를 이용한 박막 형성방법
KR100715862B1 (ko) 2005-07-27 2007-05-11 한국과학기술원 원자층증착법 시뮬레이션 방법
US7833358B2 (en) * 2006-04-07 2010-11-16 Applied Materials, Inc. Method of recovering valuable material from exhaust gas stream of a reaction chamber
KR20090035578A (ko) 2006-07-03 2009-04-09 어플라이드 머티어리얼스, 인코포레이티드 향상된 전단부 처리를 위한 클러스터 기기
JP4949776B2 (ja) 2006-08-29 2012-06-13 三菱重工業株式会社 薄膜製造方法及び薄膜製造システム
CN101443477B (zh) 2006-10-19 2011-05-11 东京毅力科创株式会社 Ti类膜的成膜方法
US8906249B2 (en) 2007-03-22 2014-12-09 Panasonic Corporation Plasma processing apparatus and plasma processing method
JP2008288340A (ja) 2007-05-16 2008-11-27 Panasonic Corp プラズマ処理装置、プラズマ処理方法、及び洗浄時期予測プログラム
CN100590804C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US8262800B1 (en) 2008-02-12 2012-09-11 Novellus Systems, Inc. Methods and apparatus for cleaning deposition reactors
JP5023004B2 (ja) 2008-06-30 2012-09-12 株式会社日立国際電気 基板処理方法及び基板処理装置
US8591659B1 (en) 2009-01-16 2013-11-26 Novellus Systems, Inc. Plasma clean method for deposition chamber
US8119527B1 (en) 2009-08-04 2012-02-21 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
WO2011125471A1 (ja) 2010-03-31 2011-10-13 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20120048821A1 (en) 2010-09-01 2012-03-01 John Paul Kapla Nestable container
US20120225203A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
KR20180128514A (ko) 2011-04-07 2018-12-03 피코순 오와이 플라즈마 소오스를 갖는 퇴적 반응기
KR101395243B1 (ko) 2011-04-29 2014-05-15 세메스 주식회사 기판처리장치 및 방법
KR20140037198A (ko) 2011-06-09 2014-03-26 싱귤러스 엠오씨브이디 게엠바하 아이. 지알. 인라인 화학 기상 증착을 위한 방법 및 시스템
JP5878813B2 (ja) 2011-06-21 2016-03-08 東京エレクトロン株式会社 バッチ式処理装置
US8728587B2 (en) 2011-06-24 2014-05-20 Varian Semiconductor Equipment Associates, Inc. Closed loop process control of plasma processed materials
US20130196078A1 (en) 2012-01-31 2013-08-01 Joseph Yudovsky Multi-Chamber Substrate Processing System
US9194045B2 (en) 2012-04-03 2015-11-24 Novellus Systems, Inc. Continuous plasma and RF bias to regulate damage in a substrate processing system
KR101340425B1 (ko) 2012-05-15 2013-12-10 (주)베오스솔루션 박막 증착 장치 및 방법
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
US20140069459A1 (en) 2012-09-09 2014-03-13 Novellus Systems, Inc. Methods and apparatus for cleaning deposition chambers
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014142031A1 (ja) 2013-03-13 2014-09-18 株式会社日立国際電気 基板処理装置、基板処理装置の制御方法、クリーニング方法及び半導体装置の製造方法並びに記録媒体
US9677176B2 (en) 2013-07-03 2017-06-13 Novellus Systems, Inc. Multi-plenum, dual-temperature showerhead
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US9745658B2 (en) 2013-11-25 2017-08-29 Lam Research Corporation Chamber undercoat preparation method for low temperature ALD films
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US9263350B2 (en) 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US20160056032A1 (en) 2014-08-22 2016-02-25 Lam Research Corporation Methods and apparatuses for stable deposition rate control in low temperature ald systems by showerhead active heating and/or pedestal cooling
US9624578B2 (en) * 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20170029948A1 (en) 2015-07-28 2017-02-02 Asm Ip Holding B.V. Methods and apparatuses for temperature-indexed thin film deposition
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US20170314129A1 (en) 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching

Also Published As

Publication number Publication date
US11286560B2 (en) 2022-03-29
SG11202002269QA (en) 2020-04-29
US20190085448A1 (en) 2019-03-21
CN111133554B (zh) 2023-11-03
US20200299838A1 (en) 2020-09-24
US10697059B2 (en) 2020-06-30
CN111133554A (zh) 2020-05-08
TW201923138A (zh) 2019-06-16
KR20200043499A (ko) 2020-04-27
WO2019055296A1 (en) 2019-03-21

Similar Documents

Publication Publication Date Title
KR102612832B1 (ko) 플라즈마 보조 원자층 증착의 rf 보상을 위한 방법 및 장치
US11286560B2 (en) Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
JP7096537B2 (ja) 半導体デバイス製造における酸化スズ被膜スペーサ
JP7460727B2 (ja) パターニング用途のための原子層エッチング、反応性前駆体、及びエネルギ源
US20210340670A1 (en) In situ protective coating of chamber components for semiconductor processing
KR20230024396A (ko) 챔버 세정에서 주석 옥사이드의 제거
US20210395885A1 (en) Throughput improvement with interval conditioning purging
JP2023501371A (ja) 高周波電力増加によるプラズマ強化原子層堆積
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模
CN115768918A (zh) 最大限度地减少氧化锡室清洁时间
JP2021501466A (ja) リアクタの処理バッチサイズを増加させるための方法および装置