JP2020026532A - 酸化物材料を研磨するための化学機械平坦化組成物及びその使用方法 - Google Patents

酸化物材料を研磨するための化学機械平坦化組成物及びその使用方法 Download PDF

Info

Publication number
JP2020026532A
JP2020026532A JP2019147737A JP2019147737A JP2020026532A JP 2020026532 A JP2020026532 A JP 2020026532A JP 2019147737 A JP2019147737 A JP 2019147737A JP 2019147737 A JP2019147737 A JP 2019147737A JP 2020026532 A JP2020026532 A JP 2020026532A
Authority
JP
Japan
Prior art keywords
acid
oxide
group
cmp
cmp composition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2019147737A
Other languages
English (en)
Inventor
ミン ツァイ
Ming-Shih Tsai
ミン ツァイ
チア−チエン リー
Chia-Chien Lee
チア−チエン リー
ルン−チェ ヤン
Rung-Je Yang
ルン−チェ ヤン
マリカーチュナン アヌ
Mallikarjunan Anu
マリカーチュナン アヌ
ケー−ユアン リー クリス
Keh-Yeuan Li Chris
ケー−ユアン リー クリス
チョウ ホンチュン
Hongjun Zhou
チョウ ホンチュン
ディー.ローズ ジョーセフ
D Rose Joseph
ディー.ローズ ジョーセフ
シー シアオポー
Xiaobo Shi
シー シアオポー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of JP2020026532A publication Critical patent/JP2020026532A/ja
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Composite Materials (AREA)
  • Dispersion Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Environmental Sciences (AREA)
  • Inorganic Chemistry (AREA)

Abstract

【課題】酸化ケイ素の高い除去速度、高い平坦化効率、及び優れたスラリー安定性を提供することができる研磨組成物の提供。【解決手段】セリアコートシリカ粒子と、スルホン酸基、ホスホン酸基、ピリジン化合物、及びこれらの組み合わせからなる群から選択される1種を有する有機酸とを含み、5〜10のpHを有し、0.2〜10ミリジーメンス毎センチメートルの電気伝導率を有する研磨組成物は、最新の半導体デバイス製造に関する非常に高い酸化ケイ素除去速度を提供する。【選択図】なし

Description

関連出願の相互参照
本件は、両方が2018年8月9日に出願された米国仮出願第62/716784号、及び米国仮出願第62/716769号の利益を主張し、これらは、全体的に記載されたかのように参照により本開示に組み込まれる。
背景
本件は、半導体デバイスの製造に用いられる化学機械平坦化/研磨(「CMP」)組成物(CMPスラリー、CMP組成物、又はCMP配合物は、交換可能に用いられる)、及び化学機械平坦化を実施する研磨方法に関する。特に、本件は、酸化物材料で構成されたパターン化半導体ウェハを研磨するのに好適な複合研磨材粒子を含む研磨組成物に関する。
酸化ケイ素(シリカ)は、半導体産業において誘電体材料として広く用いられている。集積回路(IC)製造プロセスにおいて、例えばシャロートレンチアイソレーション(STI)、層間誘電体(ILD)CMP、及びゲートポリCMP等の幾つかのCMP工程がある。典型的な酸化物CMPスラリーは、他の化学品と共に、または他の化学品なしで研磨材を含む。他の化学品としては、スラリー安定性を向上させる分散剤、除去速度を増加させる加速剤、及び除去速度を低下させ、停止層、例えばSTI用途におけるSiNに到達した際に研磨を停止する抑制剤が挙げられる。
CMPスラリーにおいて用いられる一般的な研磨材としては、以下に制限されるものではないが、シリカ、アルミナ、ジルコニア、チタニア、及びセリアが挙げられる。セリアは、そのシリカに対する高い反応性でよく知られている。セリアは、STICMPスラリー中で広く用いられており、シリカに対する高い反応性に基づく最高の酸化物除去速度(RR)を提供する。
Cookら(Lee M.Cook,Journal of Non−Crystalline Solids 120(1990)152−171)は、セリアのこの並外れた特性を説明する「化学歯」メカニズムを提案した。このメカニズムによれば、セリア粒子が酸化ケイ素膜の上に押し付けられた際、セリアがシリカ結合を破壊し、Ce−O−Si構造を形成し、したがって表面からシリカを切断する。
半導体技術が進歩するにつれて、高い酸化ケイ素除去速度、及び高度の平坦性を提供する革新的なCMP溶液を要求する新規の用途がある。係る用途の1つは、三次元(3D)メモリ構造の製造である。3Dメモリ構造は、メモリセルを垂直に積層し、各セル間のより広いギャップを可能とし、パターニングの制限を克服する。例えば、3D NANDメモリ構造は、典型的には厚い酸化物と窒化物又は酸化物と導体層の交互層を用いて階段の形態で垂直NAND構造を形成する。これらの用途において、酸化物層は、典型的には3ミクロンより厚い。US2017133236において開示されるように、スループット要件を維持するために、酸化物層は、非常に高速で研磨される必要がある。
したがって、酸化ケイ素の高い除去速度、高い平坦化効率、及び優れたスラリー安定性を提供することができるCMP組成物、方法、及び装置に対する大きな必要がある。
概要
この概要は、以下の詳細な説明でさらに説明される簡略化された形態での概念の選択を紹介するように提供される。この概要は、特許請求の範囲に記載される内容の主要な特徴又は本質的な特徴を特定することを意図せず、特許請求の範囲に記載される内容の範囲を制限するように用いられることも意図しない。
以下に記載され、続く特許請求の範囲により規定されるように、開示される実施態様は、非常に高い除去速度での酸化ケイ素構造を含む半導体ウェハの研磨に関する要求を満足させる、酸化物材料を研磨するためのCMP研磨組成物、並びに関連する方法及び装置を含む。
開示される実施態様は、半導体ウェハを研磨する際の酸化ケイ素の高い除去速度、高い平坦化効率、及び優れたスラリー安定性を可能にする組成物、方法、及び装置を提供することにより、当分野の必要を満足させる。例えば、実施態様は、10000オングストローム/分超の酸化ケイ素除去速度にて半導体を研磨することの必要を満足させる。好ましい実施態様は、300mmウェハ研磨機での150ml/分のスラリー流速、4psiの下向き力及び126RPMのテーブル速度における研磨中に、12500オングストローム/分超、又は15000オングストローム/分超の酸化ケイ素除去速度にて半導体を研磨することの必要を満足させる。本件で開示される配合物は、3D−NANDメモリ構造用途用の半導体ウェハの研磨に特に有用である。
本開示に記載のCMPスラリー配合物の実施態様は、研磨材粒子と、酸化ケイ素除去速度加速剤と、溶媒とを含む。CMPスラリー配合物は、任意選択的に、pH及び伝導率調節、生物学的成長抑制に関する添加剤、界面活性剤、分散剤、及び停止膜の抑制に関する化学品等の機能的添加剤を含むことができる。
好ましい添加剤としては、以下に制限されるものではないが、シェルを形成する微細なセリア研磨材粒子で被覆されたSiO2(シリカ)コアを配合した複合セリア研磨材が挙げられる。好ましい酸化ケイ素除去速度加速剤としては、以下に制限されるものではないが、硝酸塩、リン酸塩、ホスホン酸塩、硫酸塩、スルホン酸塩、カルボン酸塩又はこれらの組み合わせの塩等の塩、硝酸、スルホン酸、硫酸、ホスホン酸、ホスフィン酸、カルボン酸又はこれらの組み合わせ等の対応する酸が挙げられる。好ましくは、スラリー配合物は7〜11のpHを有し、これは、制限されるものではないが、好ましくはHNO3及びNH4OHなどの好適な酸又は塩基を用いて調節される。好ましくは、スラリー配合物は0.3〜9mS/cmの伝導率を有する。
加えて、本開示に開示される主題の装置及び方法の幾つかの具体的な側面が、以下にまとめられる。
側面1:
無機酸化物粒子、ドープ無機酸化物粒子、表面コート複合無機酸化物粒子、有機ポリマー粒子、無機酸化物コート有機ポリマー粒子、及びこれらの組み合わせからなる群から選択される研磨材と、
除去速度加速剤と、
溶媒とを含み、
5超のpHをさらに含む、酸化物材料を研磨するための化学機械平坦化(CMP)組成物。
側面2:研磨材が、酸化セリウム(セリア)、酸化アルミニウム、酸化ジルコニウム、ケイ酸ジルコニウム、酸化スズ、二酸化ケイ素、酸化チタン、酸化ゲルマニウム、酸化バナジウム、ドープ無機酸化物、複合無機酸化物、及びこれらの組み合わせからなる群から選択される、側面1に記載のCMP組成物。
側面3:研磨材が、焼成セリア、コロイダルセリア、セリアコートシリカ粒子、及びこれらの組み合わせからなる群から選択される酸化セリウムを含む、側面2に記載のCMP組成物。
側面4:研磨材が、結晶性セリアナノ粒子で被覆されたアモルファスシリカコア粒子を含むセリアコートシリカ粒子を含む、側面3に記載のCMP組成物。
側面5:結晶性セリアナノ粒子が単結晶を含む、側面4に記載のCMP組成物。
側面6:セリアナノ粒子とアモルファスシリカコア粒子の質量比が、0.01〜1.5又はそれより大きい、側面4に記載のCMP組成物。
側面7:アモルファスシリカコア粒子が、20〜550ナノメートルの範囲の直径を含み、セリアナノ粒子が10ナノメートル超の直径を含み、アモルファスシリカコア粒子の直径がセリアナノ粒子の直径より大きい、側面4に記載のCMP組成物。
側面8:除去速度加速剤が、スルホン酸基、ホスホン酸基、ピリジン基、及びこれらの組み合わせからなる群から選択される1つを有する有機酸又は有機酸塩である、側面1〜7のいずれかに記載のCMP組成物。
側面9:除去速度加速剤が、硝酸塩、リン酸塩、及び硫酸塩からなる群から選択される塩である、側面1〜8のいずれかに記載のCMP組成物。
側面10:溶媒が、水、極性非水性溶媒、及びこれらの混合物からなる群から選択される、側面1〜9のいずれかに記載のCMP組成物。
側面11:非水性溶媒が、アルコール、エーテル、ケトン、及びこれらの組み合わせからなる群から選択される、側面10に記載のCMP組成物。
側面12:除去速度加速剤が、硝酸、スルホン酸、硫酸、ホスホン酸、カルボン酸、及びこれらの組み合わせからなる群から選択される酸である、側面1〜11のいずれかに記載のCMP組成物。
側面13:除去速度加速剤が、フェニルホスホン酸、安息香酸、酢酸、マロン酸グルタル酸、シュウ酸、及びこれらの組み合わせからなる群から選択される酸である、側面1〜12のいずれかに記載のCMP組成物。
側面14:除去速度加速剤が、メタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸、p−トルエンスルホン酸、エタンジスルホン酸、ナフタレンジスルホン酸、アクリルアミドプロパンスルホン酸、モルホリノプロパンスルホン酸、3−(N−モルホリノ)プロパンスルホン酸(MOPS)、4−モルホリンエタンスルホン酸(MES)、ベータ−ヒドロキシ−4−モルホリンプロパンスルホン酸(MOPSO)、4−(2−ヒドロキシエチル)ピペラジン−1−エタンスルホン酸(HEPES)、1,4−ピペラジンジエタンスルホン酸(PIPES)、ピペラジン−1,4−ビス(2−ヒドロキシプロパンスルホン酸)二水和物(POPSO)、4−(2−ヒドロキシエチル)−1−ピペラジンプロパンスルホン酸(EPPS)、ピペラジンジエタンスルホン酸、ヒドロキシエチルピペラジンエタンスルホン酸、及びこれらの組み合わせからなる群から選択されるスルホン酸である、側面1〜13のいずれかに記載のCMP組成物。
側面15:除去速度加速剤が、
Figure 2020026532
(式中、R1、R2、R3、R4及びR5は、独立して水素、カルボン酸、カルボン酸エステル、有機スルホン酸、有機アミン、有機アミド、及びヒドロキシル基からなる群から選択される。)
の構造を有するピリジン基を有する有機酸である、側面8に記載のCMP組成物。
側面16:R1、R2、R3、R4及びR5の少なくとも1つが、カルボン酸である、側面15に記載のCMP組成物。
側面17:除去速度加速剤が、ピリジン、ピリジンモノカルボン酸、ピリジンジカルボン酸、ピコリン酸、ニコチン酸、イソニコチン酸、ジピコリン酸、2,5−ピリジンジカルボン酸、3,5−ピリジンジカルボン酸、2,3−ピリジンジカルボン酸、及び3,4−ピリジンジカルボン酸からなる群から選択されるピリジン化合物である、側面1〜16のいずれかに記載のCMP組成物。
側面18:CMP組成物のpHが、7〜11の範囲である、側面1〜17のいずれかに記載のCMP組成物。
側面19:CMP組成物の伝導率が、0.3〜9ミリジーメンス毎センチメートルの範囲である、側面1〜18のいずれかに記載のCMP組成物。
側面20:組成物が、除去速度選択性に関する添加剤、pH調節剤、界面活性剤、分散剤、及び生物学的成長抑制剤からなる群から選択される少なくとも1種の添加剤を含む、側面1〜19のいずれかに記載のCMP組成物。
側面21:研磨材が、−25ミリボルトより負のゼータ電位を有する粒子を含む、側面1〜20のいずれかに記載のCMP組成物。
側面22:
(a)第一の材料の少なくとも1つの表面と、CMP研磨パッドとを接触させる工程と、
(b)側面1〜21のいずれかに記載のCMP研磨組成物を少なくとも1つの表面に輸送する工程と、
(c)研磨組成物により少なくとも1つの表面を研磨して、10000オングストローム/分超の除去速度にて第一の材料を除去する工程と
を含む、第一の材料と、第二の材料とを含む半導体デバイスの化学機械平坦化(CMP)研磨方法。
側面23:第一の材料が、熱酸化物、テトラエチルオルトシリケート(TEOS)前駆体を用いて堆積させたTEOS膜、高密度プラズマ(HDP)酸化物、高アスペクト比プロセス(HARP)膜、フッ化酸化物膜、ドープ酸化物膜、スピンオンガラス(SOG)、流動性化学気相堆積(CVD)膜、光学ガラス、ディスプレイガラス、及びこれらの組み合わせからなる群から選択される酸化ケイ素材料である、側面22に記載の研磨方法。
側面24:第二の材料が、窒化ケイ素、ポリシリコン、及びこれらの組み合わせの群から選択される、側面23に記載の研磨方法。
側面25:
(a)第一の材料と第二の材料とを有する少なくとも1つの表面を含むパターン化基材と、
(b)研磨パッドと、
(c)側面1〜21のいずれかに記載のCMP研磨組成物とを含む化学機械平坦化装置であって、
少なくとも1つの表面が研磨パッド及び研磨組成物と接触しており、第一の材料が、熱酸化物、テトラエチルオルトシリケート(TEOS)前駆体を用いて堆積させたTEOS膜、高密度プラズマ(HDP)酸化物、高アスペクト比プロセス(HARP)膜、フッ化酸化物膜、ドープ酸化物膜、スピンオンガラス(SOG)、流動性化学気相堆積(CVD)膜、光学ガラス、ディスプレイガラス、及びこれらの組み合わせからなる群から選択される酸化ケイ素材料である、装置。
詳細な説明
以下の詳細な説明は、好ましい例示的な実施態様を提供するにすぎず、請求される発明の範囲、適用可能性、又は構成を限定することを意図するものではない。むしろ、好ましい例示的な実施態様の以下の詳細な説明は、好ましい例示的な実施態様を実施するための実施可能な説明を当業者に提供するであろう。 添付の特許請求の範囲に記載されている本発明の精神及び範囲から逸脱することなく、要素の機能及び配置にさまざまな変更を加えることができる。
本開示で定義される全ての用語は、明細書を読むことにより示されるあらゆる暗示的な意味、並びに当業者、及び/又は辞書、論文、又は類似の情報源が特定の意味を割り当てるあらゆる語を含む、可能な最も広い解釈を含むべきである。さらに、明細書及び添付の特許請求の範囲に記載される単数形「1つの(a)」、「1つの(an)」及び「その(the)」は、別段の指摘がない限り、複数の指示語を含むことに留意されたい。加えて、本開示で用いられる際に、「含む(comprises)」、及び「含む(comprising)」という語は、その実施態様においてある種の特徴が存在することを特定するが、追加の特徴、構成、操作、及び/又はこれらの群の存在又は追加を除外するように解釈されるべきではない。
本開示で開示されるのは、非常に高い除去速度にて酸化ケイ素構造を含む半導体ウェハを研磨することに対する必要を満足させる、酸化物材料を研磨するためのCMP研磨組成物、並びに関連する方法及び装置である。
本開示で記載されるCMPスラリー配合物は、本開示で研磨材粒子とも呼ばれる研磨材と、酸化ケイ素膜の除去速度を加速させる1種又はそれより多くの添加剤と、溶媒とを含む。CMPスラリー配合物は、任意選択的に、pH調節、伝導率調節、生物学的成長抑剤に関する添加剤、界面活性剤、分散剤、及び停止膜の抑制に関する化学品等の機能的添加剤を含むことができる。
以下の段落の見出しは、単に開示の構成を提供するためのものであり、請求された発明の範囲を少しでも限定することを意図するものではない。
研磨材
本開示で研磨材粒子とも呼ばれる研磨材は、1種若しくはそれより多くの金属酸化物、1種若しくはそれより多くの半金属酸化物、又は金属酸化物及び半金属酸化物の化学的混合物を含むことができる。好ましい研磨材としては、以下に制限されるものではないが、無機酸化物粒子、ドープ無機酸化物粒子、複合無機酸化物粒子、有機ポリマー粒子、無機酸化物コート有機ポリマー粒子、又はこれらの組み合わせが挙げられる。
研磨材粒子は、以下に制限されるものではないが、化学的に均質、ドープされた、表面修飾された、及び連続又は不連続シェル層を有するコア−シェルなどの種々の化学的及び物理的形態を有することができる。
本開示で用いられる「ドープ」無機酸化物粒子は、(金属酸化物形態における)二次無機金属イオンが、一次金属イオンの構造中に意図的に導入されている研磨材粒子を指す。例えば、セリアは、ランタン、又は別の二次金属イオンでドープされることができる。二次金属イオンを、元の材料構造(それが結晶である場合には格子)に導入し、均一に分散させる。ドープ研磨材は、ドープの前後で単相を維持する。
本開示で用いられる「複合」無機酸化物粒子は、互いに物理的又は化学的に結合してひとつの粒子を形成している2種の金属酸化物を指す。複合粒子の例としては、以下に制限されるものではないが、コア−シェル粒子及び表面コート粒子が挙げられる。ドープ金属酸化物とは対照的に、複合無機酸化物粒子は、1つより多くの相からなる。
好ましい金属酸化物研磨材としては、以下に制限されるものではないが、アルミナ、セリア、ゲルマニア、シリカ、スピネル、チタニア、タングステンの酸化物又は窒化物、ジルコニア、又は1つ若しくはそれより多くの他の鉱物若しくは元素でドープされた上記のいずれか、及びこれらの組み合わせが挙げられる。金属酸化物研磨材は、ゾル−ゲル、熱水、加水分解、プラズマ、焼成、エアロゲル、ヒューミング、及び沈殿法、及びこれらの任意の組み合わせなどの種々の方法のいずれかにより製造することができる。
沈殿金属酸化物及び半金属酸化物は、金属塩と酸又は他の沈殿剤の反応による既知のプロセスにより得ることができる。
焼成金属酸化物、及び/又は半金属酸化物粒子は、酸素/水素火炎中での好適な気化可能な出発物質の加水分解により得られる。例は、四塩化ケイ素からの焼成二酸化ケイ素である。酸化アルミニウム、酸化チタン、酸化ジルコニウム、ケイ酸ジルコニウム、二酸化ケイ素、酸化セリウム、酸化スズ、酸化ゲルマニウム、酸化バナジウム、及びこれらの化学的及び物理的混合物の焼成酸化物が、好ましい研磨剤である。
より好ましい研磨材粒子は、酸化セリウム(セリア)を含む。酸化セリウムを含む研磨材粒子の例としては、以下に制限されるものではないが、焼成セリア、コロイダルセリア、及びセリアコートシリカ粒子が挙げられる。最も好ましい研磨材は、セリアコートシリカ粒子で構成された複合無機酸化物である。
セリアコートシリカ粒子は、コア粒子としてアモルファスシリカ粒子と、シェルとして、コアを被覆するナノ粒子としてのセリアとを含む。各シリカ粒子の表面は、セリアナノ粒子により被覆されている。シリカコア粒子はアモルファスであり、セリアナノ粒子は結晶性であるか、より好ましくは単結晶である。本開示で用いられる単結晶は、連続的な結晶構造を指す。
コア粒子の表面を被覆するナノ粒子の量は、好ましくは固体質量比の観点において以下の範囲内に収まる。コア粒子の固体質量(a)に対するナノ粒子の固体質量(b)は、(b)/(a)=0.01〜1.5、より好ましくは0.01〜1.2である。
コア粒子を被覆するセリアナノ粒子の直径は、好ましくは10ナノメートル超、より好ましくは13ナノメートル超である。コア粒子直径は、15〜500ナノメートル、好ましくは20〜250ナノメートル、最も好ましくは50〜200ナノメートルの範囲であることができる。好ましくは、コア粒子直径は、シェル粒子の直径より大きい。本開示で用いられる用語直径は、粒子の絶対直径を指す。
好ましい実施態様において、セリアコートシリカ粒子は、研磨力下で崩壊しない。研磨力(すなわち崩壊性力)の作用下で壊れず、元の粒子サイズの特徴を維持する粒子は、研磨中、高い除去速度を維持する。粒子が研磨力下で崩壊する場合、除去速度は、有効により小さい研磨材粒子サイズのために低下する。粒子が壊れると、不規則な形状の粒子がもたらされる場合もあり、これは、スクラッチ欠陥の望ましくない影響を生み出す場合がある。
崩壊力下での粒子安定性は、配合物に30分間超音波処理を施し、サイズ分布の変化を測定することにより決定することができる。超音波処理の好ましい条件は、100ワットの出力において42キロヘルツ周波数の浴中に30分間浸漬することである。
粒子サイズ分布は、ディスク遠心分離(DC)法、又は動的光散乱(DLS)等の任意の好適な方法を用いて測定することができる。サイズ分布の変化を、平均粒子サイズ、又はD50(50パーセントの粒子がこのサイズ未満である)若しくはD99(99パーセントの粒子がこのサイズ未満である)、又は任意の類似のパラメータの変化の観点で特徴づけることができる。好ましくは、超音波処理後のセリアコートシリカ粒子の粒子サイズ分布の変化は、例えばDCを用いた平均粒子サイズ、D50、D75、及び/又はD99により10パーセント未満、より好ましくは5パーセント未満、最も好ましくは2パーセント未満である。
CMPスラリー配合物中で係る安定な粒子を用いることにより、膜材料の除去のための研磨力をより効果的に利用することができ、スクラッチ欠陥の原因となり得る任意の不規則形状の発生も防止することができる。
幾つかの実施態様において、セリアコートシリカ粒子は、酸化セリア粒子を被覆する酸化ケイ素の薄層も有することができる。いかなる特定の理論により束縛されることはないが、酸化ケイ素被覆は、粒子を安定化し、粒子の表面をより負に帯電させると考えられる。
セリアコートシリカ粒子は、任意の好適な方法で製造することができる。好適な製造方法の例は、その全体において本開示に組み込まれる以下の特許に記載される:特許第6358899号公報、特許第6285775号公報、特開2016/084243、US2018105428、特開2017/043531号公報、特開2017/193692号公報、特開2017/206410号公報、特開2017/206411号公報、WO18088088、WO18121508、特開2016/127139号公報、US9447306、US6645265、特許第5979340号公報、WO2005/035688、US2012/077419、US2003/118824。
酸化ケイ素除去速度加速剤
CMPスラリー配合物は、本開示で酸化ケイ素除去速度加速剤と呼ばれる、酸化ケイ素の除去速度を加速させる1種又はそれより多くの化合物を含むことができる。好ましい酸化ケイ素除去速度加速剤は、スルホン酸基、又はホスホン酸基のうちの少なくとも1つを有する有機酸、ピリジン官能基を含む有機酸、及びこれらの組み合わせである。
(本開示でスルホン酸とも呼ばれる)スルホン酸基を有する有機酸を含む酸化ケイ素速度加速剤としては、以下に制限されるものではないが、芳香族スルホン酸、脂肪族スルホン酸、ピペラジンスルホン酸、ジスルホン酸、アミノ基を有する芳香族及び脂肪族スルホン酸、これらの塩、及びこれらの組み合わせが挙げられる。スルホン酸基を有する有機酸は、水素原子に結合した窒素原子を含有する化合物を含まない。スルホン酸を含む酸化ケイ素除去速度加速剤は、スルホン酸基を含有する任意のポリマー又は界面活性剤化合物を含まない。
スルホン酸基を有する好ましい有機酸としては、以下に制限されるものではないが、メタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸、p−トルエンスルホン酸、エタンジスルホン酸、ナフタレンジスルホン酸、アクリルアミドプロパンスルホン酸、モルホリノプロパンスルホン酸、3−(N−モルホリノ)プロパンスルホン酸(MOPS)、4−モルホリノエタンスルホン酸(MES)、ベータ−ヒドロキシ−4−モルホリンプロパンスルホン酸(MOPSO)、4−(2−ヒドロキシエチル)ピペラジン−1−エタンスルホン酸(HEPES)、1,4−ピペラジンジエタンスルホン酸(PIPES)、ピペラジン−1,4−ビス(2−ヒドロキシプロパンスルホン酸)二水和物(POPSO)、4−(2−ヒドロキシエチル)−1−ピペラジンプロパンスルホン酸(EPPS)、ピペラジンジエタンスルホン酸、及びヒドロキシエチルピペラジンエタンスルホン酸が挙げられる。
より好ましくは、スルホン酸基を有する好ましい有機酸は、メタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸、p−トルエンスルホン酸、エタンジスルホン酸、ナフタレンジスルホン酸、4−(2−ヒドロキシエチル)−1−ピペラジンプロパンスルホン酸(EPPS)、ピペラジンジエタンスルホン酸、ヒドロキシエチルピペラジンエタンスルホン酸、及びこれらの組み合わせからなる群から選択される。
幾つかの実施態様において、酸化ケイ素除去速度加速剤は、(本開示で有機ホスホン酸とも呼ばれる)ホスホン酸基を有する有機酸であり、制限されるものではないが、一般式R−P(O)(OH)2(式中、Rは水素を除く任意の置換基部分であることができる。)を有する置換ホスホン酸が挙げられる。これらの実施態様は、対応するホスホン酸塩、これらの組み合わせ、及び酸と塩の組み合わせを含む。好ましい有機ホスホン酸は、フェニルホスホン酸、一般式CH3−(CH2n−P(O)(OH)2(式中、nは1〜25の範囲である。)を有する直鎖アルキルホスホン酸である。より好ましい有機ホスホン酸は、フェニルホスホン酸、フェニルホスフィン酸である。
幾つかの実施態様において、酸化ケイ素除去速度加速剤は、以下に制限されるものではないが、2−ピリジンカルボン酸、3−ピリジンカルボン酸、4−ピリジンカルボン酸、ピリジン、ピリジン−2,6−ジカルボン酸、2,2−ビピリジンなどのピリジン化合物である。
幾つかの実施態様において、酸化ケイ素除去速度加速剤は、式(I)
Figure 2020026532
(式中、R1、R2、R3、R4及びR5は、独立して水素、カルボン酸、カルボン酸エステル、有機スルホン酸、有機アミン、有機アミド、ヒドロキシル基からなる群から選択される。)
の構造を有する1つ又はそれより多くのカルボン酸基で置換されたピリジン化合物を含む。
好ましい実施態様において、ピリジン化合物は、少なくとも1つのカルボン酸として選択されたR基を含む。好ましピリジン化合物としては、以下に制限されるものではないが、2−ピリジンカルボン酸、3−ピリジンカルボン酸、4−ピリジンカルボン酸、ピリジン、ピリジン−2,6−ジカルボン酸、2,2−ビピリジン、及びこれらの組み合わせが挙げられる。
CMP研磨組成物中で用いられる好ましいピリジン化合物及び誘導体としては、以下に制限されるものではないが、ピリジン、ピコリン酸、ニコチン酸、イソニコチン酸、ジピコリン酸、2,5−ピリジンジカルボン酸、3,5−ピリジンジカルボン酸、2,3−ピリジンジカルボン酸、及び3,4−ピリジンジカルボン酸等のピリジンモノカルボン酸、又はピリジンジカルボン酸も挙げられる。
好ましい酸化ケイ素除去速度加速剤としては、以下に制限されるものではないが、ベンゼンスルホン酸、トルエンスルホン酸、酢酸、フェニルホスホン酸、フェニルホスフィン酸、及び2−ピリジンカルボン酸が挙げられる。
好ましくは、酸化ケイ素除去速度加速剤の濃度は、CMP組成物の全質量に対して約0.001質量パーセント〜10質量パーセントの範囲である。より好ましくは、範囲は、約0.01質量パーセント〜8質量パーセントである。最も好ましくは、範囲は約0.1質量パーセント〜5質量パーセントである。
溶媒
溶媒は、水、1種若しくはそれより多くの極性溶媒、又はこれらの組み合わせであることができる。好ましい溶媒は水である。
CMPスラリーの処方及び物理的特徴
CMP組成物は、研磨材粒子と、酸化ケイ素除去速度加速剤と、溶媒とを含む。任意選択的に、pH調節剤を用いてCMP組成物のpHを最適なpH条件に調節する。他の任意選択の成分も、以下に記載のように存在することができる。
研磨材粒子は、CMP組成物の全質量に基づいて、0.01質量パーセント〜20質量パーセント、好ましくは1質量パーセント〜10質量パーセント、より好ましくは約3質量パーセント〜約8質量パーセントの量で存在する。
好ましくは、CMP組成物のpHは5超であり、より好ましくは7超である。このpH範囲は、酸性pHスラリーと比較して腐食性に乏しく、より小さいパッド及びコンディショニングディスク摩耗をもたらす。また、酸化ケイ素除去速度は、このpH範囲で最大化される。pHは、好適なpH調節剤を用いて調節することができる。
好ましい実施態様において、CMP配合物は、複合セリア研磨材(セリアコートシリカ)と、塩(硝酸塩、リン酸塩、硫酸塩)若しくは組み合わせられた塩、又は対応する酸(硝酸、スルホン酸、硫酸、ホスホン酸、ホスフィン酸)若しくは組み合わせられた酸、又は他の酸(カルボン酸)とを含み、(HNO3/NH4OH等の好適な酸/塩基により調節された)7〜11のpH、及び0.3〜9ミリジーメンス毎センチメートルの伝導率を有する。
別の好ましい実施態様において、配合物は、セリアコートシリカ(0.1〜6質量パーセント)である複合セリア研磨材と、BSA/フェニルホスホン酸/フェニルホスフィン酸(最大2.5質量パーセント)とにより製造され、(HNO3/NH4OH等の好適な酸/塩基により調節された)7〜11のpH、及び0.3〜6ミリジーメンス毎センチメートルの伝導率を有する。
好ましくは、摂氏25度にて測定されたスラリーの電気伝導率は、0.1〜20ミリジーメンス毎センチメートル、より好ましくは0.5〜10ミリジーメンス毎センチメートル、最も好ましくは1〜5ミリジーメンス毎センチメートルである。伝導率は、伝導率調節剤を用いて調節することができる。
安定スラリーを提供するために、研磨材粒子は、使用の時点、及び濃縮された研磨組成物中において十分に負又は正のゼータ電位を有する必要がある。ゼータ電位は、以下に制限されるものではないが、流動電位/電流測定、電気泳動速度測定、及び電気音響法などの任意の好適な方法により測定することができる。
スラリー中の研磨材粒子のゼータ電位は、電気音響ゼータ電位測定法による測定で好ましくは−25ミリボルトより負か、+25ミリボルトより正、より好ましくは−30ミリボルトより負か、+30ミリボルトより正、最も好ましくは−35ミリボルトより負か、+35ミリボルトより正である。
任意の特定の理論に束縛されることはないが、スルホン酸(又はホスホン酸又はホスフィン酸)は、セリア中の酸化物を還元して、より多くのCe(III)が酸化ケイ素の研磨速度の増加に利用可能となるようにすることができる。
より高い酸化物除去速度と、高度に選択的な窒化物に対する酸化物の除去は、3.5〜5.5の範囲の酸性pHにおいて、焼成CeO2研磨材を用いたスラリーにより達成された。係るスラリーを用いることにより、より高い酸化物除去速度が、CeO2研磨材上で形成されたより高いCe3+活性サイトにより達成されることが示された。電荷相互作用の観点において、酸性スラリー(pH4〜6)においてCeO2研磨材は、正に帯電され(等電点(IEP)約6〜7)、酸化物基材は負に帯電される(IEP約2〜3)。焼成CeO2粒子と酸化物基材間の反発電荷相互作用に起因するだけでなく、CeO2研磨材上のCe3+活性サイトが水酸化物イオンにより「中和される」ため、より低い酸化物除去速度が、8〜10のアルカリ性pHにおける研磨中に予測される。
幾つかの実施態様において、複合セリア研磨材は、シェルとしての微細なCe研磨材で被覆されたSiO2コアにより配合される。「複合セリア研磨材」、及び「セリアコートシリカ」の語は、本開示及びこの実施態様を参照する特許請求の範囲において交換可能に用いられる。この複合研磨材上の表面電荷は、2〜10のpHの環境中で高度に負に帯電するため、複合セリア研磨材と酸化物基材間の反発クーロン力があり、より低い酸化物除去速度をもたらす。スラリーへ塩又は酸を導入することにより、スラリーの伝導率が増加し、研磨材と酸化物基材の両方における負の電荷が、効果的に中和にされ、酸化物除去速度が加速される。
先端的なCMP用途は、研磨後に、誘電体表面上のナトリウム等の金属の極端に低いレベルを要求する。したがって、非常に低い痕跡量金属、特にナトリウムをスラリー配合物中に有することが望ましい。実施態様において、セリアコートシリカ粒子を含む配合物は、質量により、配合物中の研磨材粒子の各パーセントに関して5ppm未満、より好ましくは1ppm未満、最も好ましくは0.5ppm未満のナトリウム不純物レベルを有する。
任意選択の機能的添加剤
CMPスラリー配合物は、以下に制限されるものではないが、酸化ケイ素膜と、窒化ケイ素又はポリシリコン等の幾つかの他の膜間の除去速度選択性を変更すること、除去速度を加速すること、ウェハ内不均一性を調節することなどの種々の機能を発揮する任意選択の機能的添加剤を含むことができる。
組成物は、任意選択的に、除去速度選択性に関する添加剤、pH調節剤、伝導率調節剤、界面活性剤、分散剤、生物学的成長抑制剤、及びこれらの組み合わせからなる群から選択される添加剤を含む。
除去速度選択性に関する添加剤
除去速度選択性に影響を及ぼす添加剤としては、以下に制限されるものではないが、有機カルボン酸、アミノ酸、アミドカルボン酸、N−アシルアミノ酸、及びこれらの塩;有機スルホン酸及びその塩;有機ホスホン酸及びその塩;高分子カルボン酸及びその塩;高分子スルホン酸及びその塩;高分子ホスホン酸及びその塩、アリールアミン、アミノアルコール、脂肪族アミン、複素環アミン、ヒドロキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、及びこれらの組み合わせからなる群から選択される官能基を有する添加剤が挙げられる。
除去速度選択性に影響を及ぼす化学添加剤としては、以下に制限されるものではないが、有機カルボン酸、アミノ酸、アミドカルボン酸、N−アシルアミノ酸、及びこれらの塩;有機スルホン酸及びその塩;有機ホスホン酸及びその塩;高分子カルボン酸及びその塩;高分子スルホン酸及びその塩;高分子ホスホン酸及びその塩、アリールアミン、アミノアルコール、脂肪族アミン、複素環アミン、ヒドロキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、及びこれらの組み合わせからなる群から選択される官能基を有する化合物が挙げられる。
除去速度選択性に影響を及ぼす好ましい化学添加剤としては、以下に制限されるものではないが、ポリアクリル酸又はその誘導体、ポリエチレングリコール、ソルビトール、ガラクトース、アラビノース、リボース、キシロース、マルチトール、ラクトース、マルトース等のヒドロキシル基を含むポリオール、及びこれらの混合物が挙げられる。ポリアクリル酸化合物の好ましい分子量は、500〜100000、又はより好ましくは1000〜50000、最も好ましくは5000〜20000である。ポリエチレングリコールの分子量は、1000〜20000、より好ましくは5000〜15000の範囲であることができる。
存在する場合、化学添加剤の量は、CMP組成物の全質量に対して約0.01質量パーセント〜2質量パーセントの範囲である。好ましい範囲は、約0.05質量パーセント〜1質量パーセントであり、より好ましい範囲は、約0.1質量パーセントppm〜0.5質量パーセントである。
pH調節剤
組成物のpHは、好適な酸、塩基、アミン、又はこれらの任意の組み合わせ等の適切なpH調節剤を用いて調節することができる。好ましくは、組成物中で用いられるpH調節剤は、望ましくない金属成分が組成物に導入されないように、金属イオンを含有しない。
pHの調節に好ましい剤としては、以下に制限されるものではないが、水酸化ナトリウム、水酸化セシウム、水酸化カリウム、水酸化セシウム、水酸化アンモニウム、四級有機水酸化アンモニウム(例えば水酸化テトラメチルアンモニウム)、硝酸、リン酸、硫酸、有機酸、及び/又はこれらの塩、アミン、及びこれらの混合物が挙げられる。
存在する場合、pH調節剤の量は、CMP組成物の全質量に対して約0.0001〜約5質量パーセントの範囲である。好ましい範囲は、約0.0005〜約1質量パーセントであり、より好ましい範囲は約0.0005〜約0.5質量パーセントである。
好ましくは、CMP組成物のpHは5超であり、より好ましくは7超である。
伝導率調節剤
CMP組成物は、配合物の伝導率を調節する添加剤を含有することができる。好ましい伝導率調節剤は、硝酸カリウムである。
界面活性剤
CMP組成物は、界面活性剤、又は界面活性剤の混合物を含むことができる。界面活性剤は、アニオン性、カチオン性、ノニオン性又は双性の性質であることができる。スラリーに関して多くの好適な界面活性剤添加剤が存在する一方、好ましい界面活性剤添加剤としては、ドデシル硫酸ナトリウム塩、ラウリル硫酸ナトリウム、ドデシル硫酸アンモニウム塩、アルコールエトキシレート、アセチレン系界面活性剤、ポリエチレンイミン、エトキシル化脂肪族アミン、ステアリルベンジルジメチルアンモニウム塩化物又は硝酸塩、及びこれらの任意の組み合わせが挙げられる。好適な市販で入手可能な界面活性剤としては、Dow Chemicalsにより製造されたTRITON DF 16TM、及びEvonik Industriesにより製造されたSUIRFYNOLTM、DYNOLTM、ZetasperseTM、NonidetTM、及びTomadolTM界面活性剤ファミリーにおける種々の界面活性剤が挙げられる。
1000未満〜30000超の範囲の分子量を有する種々のアニオン性、カチオン性、ノニオン性、及び双性イオン性界面活性剤は、分散剤として企図される。含まれるのは、ステアリン酸塩、ラウリル硫酸塩、アルキルポリリン酸塩、ドデシルベンゼンスルホン酸塩、ジイソプロピルナフタレンスルホン酸塩、ジオクチルスルホコハク酸塩、エトキシル化及び硫酸化ラウリルアルコール、並びにエトキシル化及び硫酸化アルキルフェノールのナトリウム、カリウム、又は好ましくはアンモニア塩である。
種々のカチオン性界面活性剤としては、ポリエチレンイミン、エトキシル化脂肪族アミン及びステアリルベンジルジメチルアンモニウムの塩化物又は硝酸塩が挙げられる。
界面活性剤の添加は、ウェハのウェハ内不均一性(WIWNU)を低減するのに有用であることができ、これにより、ウェハの表面を改善し、ウェハ欠陥を低減する。
CMP組成物は、粒子分散を安定化するための分散添加剤を含むことができる。
存在する場合、界面活性剤の量は、CMP組成物の全質量に対して約0.0001〜約10質量パーセントの範囲である。好ましい範囲は、約0.001〜約1質量パーセントであり、より好ましい範囲は約0.005〜約0.1質量パーセントである。
分散剤
好適な分散添加剤としては、以下に制限されるものではないが、有機酸及びその塩;高分子酸及びその塩;水溶性共重合体及びその塩;共重合体の同じ分子内にカルボン酸基、スルホン酸基、又はホスホン酸基等の少なくとも2種類の酸基を含む共重合体とその塩、ポリビニル酸とその塩、ポリエチレンオキシド、ポリプロピレンオキシド、及びこれらの組み合わせが挙げられる。分散剤の幾つかの例としては、ポリエチレングリコール;レシチン;ポリビニルピロリドン;ポリオキシエチレン;イソオクチルフェニルエーテル;ポリオキシエチレンノニルフェニルエーテル;アルキルアリールスルホネートのアミン塩;ポリアクリル酸、ポリメタクリル酸及びこれらの塩が挙げられる。
存在する場合、分散剤の量は、CMP組成物の全質量に対して約0.0001質量パーセント〜約10質量パーセントの範囲である。好ましい範囲は、約0.001〜約1質量パーセントであり、より好ましい範囲は約0.005質量パーセント〜約0.1質量パーセントである。
配合物は、アニオン性又はカチオン性又はノニオン性又は双性の組み合わせの基を含むことができる水溶性ポリマーを含むこともできる。
生物学的成長抑制剤
CMP配合物は、バイオサイド等の生物学的成長を制御する添加剤を含むこともできる。生物学的成長を制御する添加剤の幾つかは、米国特許第5230833号(Rombergerら)、及び米国特許出願第20020025762号に開示されている。生物学的成長抑制剤としては、以下に制限されるものではないが、テトラメチルアンモニウムクロリド、テトラエチルアンモニウムクロリド、テトラプロピルアンモニウムクロリド、アルキルベンジルジメチルアンモニウムクロリド、及びアルキルベンジルジメチルアンモニウムヒドロキシド(アルキル鎖は1から約20炭素原子の範囲である)、亜塩素酸ナトリウム、次亜塩素酸ナトリウム、メチルイソチアゾリノン、メチルクロロイソチアゾリノン及びベンゾイソチアゾリノン等のイソチアゾリノン化合物が挙げられる。市販で入手可能な防腐剤の幾つかとしては、Dow ChemicalsからのBIOBANTM425、KATHONTM及びNEOLONETM製品ファミリー、並びにLanxessからのPreventolTMファミリーが挙げられる。
好ましいバイオサイドは、メチルイソチアゾリノン、メチルクロロイソチアゾリノン及びベンゾイソチアゾリノン等のイソチアゾリノン化合物である。CMP研磨組成物は、任意選択的に、保管中の細菌及び真菌の増殖を防ぐように、0.0001〜0.10質量パーセント、好ましくは0.0001〜0.005質量パーセント、より好ましくは0.0002〜0.0025質量パーセントの範囲のバイオサイドを含む。
使用方法
1つの例示的な実施態様において、化学機械平坦化装置は、第一の材料と第二の材料とを有する少なくとも1つの表面を含むパターン化基材と、研磨パッドと、上記の研磨組成物とを含む。少なくとも1つの表面は、研磨パッド及び研磨組成物と接触している。第一の材料は、熱酸化物、テトラエチルオルトシリケート(TEOS)前駆体を用いて堆積させたTEOS膜、高密度プラズマ(HDP)酸化物、高アスペクト比プロセス(HARP)膜、フッ化酸化物膜、ドープ酸化物膜、スピンオンガラス(SOG)、流動性化学気相堆積(CVD)膜、光学ガラス、ディスプレイガラス、及びこれらの組み合わせからなる群から選択される酸化ケイ素材料である。本開示用いられるドープ酸化物膜としては、以下に制限されるものではないが、フッ素ドープ、炭素ドープ、ホウ素ドープ、リンドープ、窒素ドープ、又はこれらの組み合わせの酸化物膜が挙げられる。
例示的な実施態様において、半導体デバイスの化学機械平坦化のための研磨方法は、第一の材料と第二の材料とを有する少なくとも1つの表面を含む。方法は、少なくとも1つの表面と研磨パッドとを接触させる工程と、上記の研磨組成物を少なくとも1つの表面に輸送する工程と、研磨組成物により少なくとも1つの表面を研磨して、10000オングストローム/分超、好ましくは12500オングストローム/分超、より好ましくは15000オングストローム/分超の除去速度にて第一の材料を除去する工程とを含む。
第一の材料は、熱酸化物、テトラエチルオルトシリケート(TEOS)前駆体を用いて堆積させたTEOS膜、高密度プラズマ(HDP)酸化物、高アスペクト比プロセス(HARP)膜、フッ化酸化物膜、ドープ酸化物膜、スピンオンガラス(SOG)、流動性化学気相堆積(CVD)膜、光学ガラス、ディスプレイガラス、及びこれらの組み合わせからなる群から選択される酸化ケイ素材料である。
概して、酸化ケイ素膜は、明細書において酸化物膜と呼ばれる場合がある。酸化ケイ素膜としては、以下に制限されるものではないが、熱酸化物、テトラエチルオルトシリケート(TEOS)前駆体を用いて堆積させた膜、高密度プラズマ(HDP)酸化膜、高アスペクト比プロセス(HARP)膜、フッ化酸化物膜、ドープ酸化物膜、スピンオンガラス(SOG)、流動性化学気相堆積(CVD)膜、光学ガラス、ディスプレイガラスなどの種々の膜及び材料を挙げることができる。本開示用いられるドープ酸化物膜としては、以下に制限されるものではないが、フッ素ドープ、炭素ドープ、ホウ素ドープ、リンドープ、窒素ドープ、又はこれらの組み合わせの酸化物膜が挙げられる。
幾つかの実施態様において、CMP配合物を、研磨が、トポグラフィーが除去され、平坦な表面が達成されると停止する、停止膜用途において用いることができる。他の実施態様において、これらの配合物は、バルク膜の研磨と停止層における停止を含む用途において用いることができる。停止層は、窒化ケイ素又はポリSi膜を含むことができる。窒化ケイ素膜は、一般式Sixy(式中、比x/yは、0.1〜10の範囲であることができる。)により表すことができる。窒化ケイ素は、以下に制限されるものではないが、酸素、炭素、窒素等の他の元素を組み入れることもできる。
好ましい実施態様において、酸化ケイ素膜は、ブランケット膜が300mmウェハ研磨機で150ml/分のスラリー流速、4psiの下向き力及び126RPMのテーブル速度において研磨される際に、10000Å/分超、より好ましくは12000Å/分超、最も好ましくは15000Å/分超の速度にて研磨される。幾つかの他の実施態様において、酸化物と停止膜間の除去速度選択性は、10超、より好ましくは30超である。
当業者は、スラリーを通常の又は固定された研磨パッドと共に用いることができ、濃縮物として輸送することができ、単一又は複数成分パックとすることができ、複数成分パックの場合において、インサイチュー又はエクスサイチュー混合モードで使用することができることを理解するであろう。
実施例
パラメータ
Å:オングストローム−長さの単位
BP:背圧、psi単位
CMP:化学機械平坦化=化学機械研磨
CS:キャリア速度
DF:下向き力:CMP中に適用される圧力、psi単位
min:分
ml:ミリリットル
mV:ミリボルト
psi:ポンド毎平方インチ
PS:研磨ツールのプラテン回転速度又はテーブル速度、rpm(回転数毎分)
SF:研磨組成物流量、ml/min
除去速度及び選択性
除去速度(RR)=(研磨前の膜厚−研磨後の膜厚)/研磨時間
全てのパーセンテージは、別段の指摘がない限り質量パーセンテージ(質量パーセント)である。
基本的な実験手順
以下に示される例において、CMP実験は、以下に与えられる手順及び実験条件を用いて実施された。例において使用された300mmCMPツールは、Applied Materials,3050 Boweres Avenue,Santa Clara,California,95054により製造されたReflexion LK(登録商標)である。Dow ChemicalsからのIC1010パッド、IK4250UHパッド、及びIK4131UHパッドが研磨のために用いられた。TEOS酸化物膜は、前駆体としてテトラエチルオルトシリケートを用いた化学気相堆積(CVD)により製造された。HDP酸化物膜は、高密度プラズマ(HDP)法を用いて製造された。
全ての300mmウェハ研磨は、4psi下向き力、126RPMテーブル速度、125RPMキャリア速度、150ml/分スラリー流速にて、6lb力、115rpm速度の30%インサイチューコンディショニング(3MA122ディスク)を用いて実施された。全ての200mmウェハ研磨は、4psi下向き力、100RPMテーブル速度、107RPMキャリア速度、300ml/分スラリー流速、及び各研磨後の4psi、20RPMテーブル速度の17のエクスサイチューコンディショニング(ディスクKinik PDE781−NC)にてIC1010により200mmEbaraツールで実施された。
TEOS膜厚は、15000Å又は40000Åであった。HDP膜厚は、10000Åであった。以下の例において用いられたセリアコートシリカ粒子は、JGC C&C Ltd(日本、川崎市)から購入した。ディスク遠心分離分析法(CPS InstrumentsからのDC24000 UHR)により測定されたこれらの粒子の平均粒子サイズは、155nmであった。
スラリー伝導率は、EUTECH Con 110により測定された。ゼータ電位は、コロイダルダイナミクスゼータ電位プローブを用いて測定された。セリア粒子密度及び誘電率値が、計算において複合粒子のパラメータの代替として用いられた。したがって、例において与えられるゼータ電位の数値は、相対的な比較としてのみ解釈されるべきである。
例1
CMP組成物は、4質量パーセント濃度のセリアコートシリカ粒子と、種々の濃度のベンゼンスルホン酸とを含んでいた。表1に組成物とTEOS膜の除去速度をまとめる。配合物は、水酸化アンモニウムを用いてpH調節された。
Figure 2020026532
ベンゼンスルホン酸が、TEOS膜に対して大きな除去速度加速を与えることは明らかである。配合物3は不安定であり、粒子の沈降をもたらすことがわかった。少なくとも−30ミリボルト又はこれより負、好ましくは−35ミリボルトより負のゼータ電位が、安定なスラリーを達成するのに必要である場合があることは明らかである。
例2A
4質量パーセント濃度のセリアコートシリカ粒子と、2質量パーセントのMOPSとを含むCMP組成物が、pH調節剤として水酸化アンモニウムを用いて種々のpHにおいて配合された。表2Aに、pHの関数としてTEOS膜の除去速度をまとめる。結果は、6又は7超のpHが、除去速度の増加により最適である場合があることを示す。
Figure 2020026532
例2B
2質量パーセント濃度のセリアコートシリカ粒子を含むCMP組成物が、pH調節剤として水酸化アンモニウムを用いて種々のpHにおいて、または伝導率調節剤として硝酸カリウムを用いて配合された。表2Bに、200mmツールで得られた、pHの関数としてのTEOS膜の除去速度をまとめる。結果は、より高いpHが、スラリーの高速に適していることを示す。同じpHでは、より高い伝導率が、より高いTEOS除去速度に適している。
Figure 2020026532
例3
CMPスラリー組成物は、4質量パーセント濃度のセリアコートシリカ粒子と、0.1質量パーセントのピコリン酸とを含んで調製された。組成物は、種々の濃度のMOPS添加剤も含んでいた。スラリー配合物のpHは、pH調節剤として水酸化アンモニウムを用いて7.5に調節された。データは、300mmツールを用いて得られた。
Figure 2020026532
表3に、MOPS濃度の関数として、300mmTEOS除去速度データをまとめる。これは、添加剤濃度の広い範囲に亘って高い除去速度を維持することができることを示す。対照的に、MOPS添加剤を含有しない配合物による例において、TEOS除去速度は、11000〜12000オングストローム/分の範囲である。
例4
スラリーは、pH9において3%複合セリア研磨材(セリアコートシリカ)を含んで調製された。NH4OHを用いてスラリーのpHを調節した。カルボン酸、ホスホン酸及びホスフィン酸が以下の表4に従って組成物に添加され、表4に報告されるように、スラリーは、TEOS除去速度に与えられる加速を試験された。全てのウェハ研磨は、200mmEbaraツールで実施された。
Figure 2020026532
表4から、対照サンプルと比較して、全ての添加剤がスラリーの伝導率を増加させ、酸化物除去速度も増加させることがわかる。特定の理論に束縛されることはないが、スラリー伝導率は、酸化物除去速度の増加に関する重要なパラメータである。
例5
pHが9に調節され、種々の濃度のBSA速度加速剤を含む4質量パーセントの複合セリア(セリアコートシリカ)研磨材配合物が調製され、300mmツールでRRに関して試験された。また、同じセリアベースのスラリーが、適切な吸収のために0.008質量パーセントの固体含有量まで希釈された。高解像度UV/Vis分光光度計、JASCO V−550を用いて、200〜500nm波長領域においてろ液の吸収スペクトルを測定した。主題のセリア研磨材は、それぞれCe3+及びCe4+の吸収を意味する211及び311nmの2つの特徴的な吸収ピークを有する。Ce3+のピーク面積は、Ce4+ピーク面積(245〜500nm)に対する規格化と、さらに添加剤単独からバックグラウンドを差し引いた後に特徴づけられた。結果は、表5にまとめられる。より高いCe3+割合を有する配合物は、TEOS除去速度を増加させると予測される。添加剤としてグリシン(2−アミノエタン酸)を用いた場合、酸化物速度の加速はみられず、対応して、Ce3+ピーク面積の増加もみられなかった。いかなる特定の理論により束縛されることはないが、本発明の添加剤は、研磨材のCe3+割合も増加させ、したがってTEOS除去速度を加速させることが予測される。
Figure 2020026532
例6
9のpHを有するCMPスラリーが調製され、4質量パーセントの複合セリア(セリアコートシリカ)研磨材と、0.5質量パーセントのBSA添加剤が調製された。表6に、TEOS酸化物及びHARP(高アスペクト比プロセス)酸化物膜に関する、2種のパッド(IC1010及びIK4250UH)による300mmReflexion LKツールにおける研磨速度をまとめる。表6からわかるように、正確な研磨速度は、膜の種類に依存し、パッドの種類にも依存する。2.5ミクロン/分超の高い速度を達成することができる。
Figure 2020026532
例7
焼成セリア研磨材と複合セリア研磨材(セリアコートシリカ)が、3質量パーセントの研磨材を含むスラリーにおいて比較された。スラリーの特性及び対応する300mmTEOS膜RR値が表7に示される。RR値は、同じプロセス条件(3MA122ディスク、300mmウェハに関して上記で与えられたベースライン研磨レシピ)において2つの異なるパッドを用いて得られた。複合セリア研磨材は、同じ研磨材濃度においてさえ、より高いTEOS除去速度を得るのに明確により良好である。
Figure 2020026532
上記の例及び実施態様の記載は、特許請求の範囲により規定された本発明を制限するものではなく、例として受け取られるべきである。容易に理解されるように、特許請求の範囲に記載の本発明から逸脱することなく、上記の特徴の種々の変更及び組み合わせを利用することができる。係る変更は、以下の特許請求の範囲の精神内に含まれることが意図される。

Claims (25)

  1. 無機酸化物粒子、ドープ無機酸化物粒子、表面コート複合無機酸化物粒子、有機ポリマー粒子、無機酸化物コート有機ポリマー粒子、及びこれらの組み合わせからなる群から選択される研磨材と、
    除去速度加速剤と、
    溶媒とを含み、
    5超のpHをさらに含む、酸化物材料を研磨するための化学機械平坦化(CMP)組成物。
  2. 前記研磨材が、酸化セリウム(セリア)、酸化アルミニウム、酸化ジルコニウム、ケイ酸ジルコニウム、酸化スズ、二酸化ケイ素、酸化チタン、酸化ゲルマニウム、酸化バナジウム、ドープ無機酸化物、複合無機酸化物、及びこれらの組み合わせからなる群から選択される、請求項1に記載のCMP組成物。
  3. 前記研磨材が、焼成セリア、コロイダルセリア、セリアコートシリカ粒子、及びこれらの組み合わせからなる群から選択される酸化セリウムを含む、請求項2に記載のCMP組成物。
  4. 前記研磨材が、結晶性セリアナノ粒子で被覆されたアモルファスシリカコア粒子を含むセリアコートシリカ粒子を含む、請求項3に記載のCMP組成物。
  5. 前記結晶性セリアナノ粒子が単結晶を含む、請求項4に記載のCMP組成物。
  6. セリアナノ粒子とアモルファスシリカコア粒子の質量比が、0.01〜1.5又はそれより大きい、請求項4に記載のCMP組成物。
  7. アモルファスシリカコア粒子が、20〜550ナノメートルの範囲の直径を含み、セリアナノ粒子が10ナノメートル超の直径を含み、アモルファスシリカコア粒子の直径がセリアナノ粒子の直径より大きい、請求項4に記載のCMP組成物。
  8. 前記除去速度加速剤が、スルホン酸基、ホスホン酸基、ピリジン基、及びこれらの組み合わせからなる群から選択される1つを有する有機酸又は有機酸塩である、請求項1に記載のCMP組成物。
  9. 前記除去速度加速剤が、硝酸塩、リン酸塩、硫酸塩からなる群から選択される塩である、請求項1に記載のCMP組成物。
  10. 前記溶媒が、水、極性非水性溶媒、及びこれらの混合物からなる群から選択される、請求項1に記載のCMP組成物。
  11. 前記非水性溶媒が、アルコール、エーテル、ケトン、及びこれらの組み合わせからなる群から選択される、請求項10に記載のCMP組成物。
  12. 前記除去速度加速剤が、硝酸、スルホン酸、硫酸、ホスホン酸、カルボン酸、及びこれらの組み合わせからなる群から選択される酸である、請求項1に記載のCMP組成物。
  13. 前記除去速度加速剤が、フェニルホスホン酸、安息香酸、酢酸、マロン酸グルタル酸、シュウ酸、及びこれらの組み合わせからなる群から選択される酸である、請求項1に記載のCMP組成物。
  14. 前記除去速度加速剤が、メタンスルホン酸、ベンゼンスルホン酸、トルエンスルホン酸、p−トルエンスルホン酸、エタンジスルホン酸、ナフタレンジスルホン酸、アクリルアミドプロパンスルホン酸、モルホリノプロパンスルホン酸、3−(N−モルホリノ)プロパンスルホン酸(MOPS)、4−モルホリンエタンスルホン酸(MES)、ベータ−ヒドロキシ−4−モルホリンプロパンスルホン酸(MOPSO)、4−(2−ヒドロキシエチル)ピペラジン−1−エタンスルホン酸(HEPES)、1,4−ピペラジンジエタンスルホン酸(PIPES)、ピペラジン−1,4−ビス(2−ヒドロキシプロパンスルホン酸)二水和物(POPSO)、4−(2−ヒドロキシエチル)−1−ピペラジンプロパンスルホン酸(EPPS)、ピペラジンジエタンスルホン酸、ヒドロキシエチルピペラジンエタンスルホン酸、及びこれらの組み合わせからなる群から選択されるスルホン酸である、請求項1に記載のCMP組成物。
  15. 前記除去速度加速剤が、
    Figure 2020026532
    (式中、R1、R2、R3、R4及びR5は、独立して水素、カルボン酸、カルボン酸エステル、有機スルホン酸、有機アミン、有機アミド、及びヒドロキシル基からなる群から選択される。)
    の構造を有するピリジン基を有する有機酸である、請求項8に記載のCMP組成物。
  16. R1、R2、R3、R4及びR5の少なくとも1つが、カルボン酸である、請求項15に記載のCMP組成物。
  17. 前記除去速度加速剤が、ピリジン、ピリジンモノカルボン酸、ピリジンジカルボン酸、ピコリン酸、ニコチン酸、イソニコチン酸、ジピコリン酸、2,5−ピリジンジカルボン酸、3,5−ピリジンジカルボン酸、2,3−ピリジンジカルボン酸、及び3,4−ピリジンジカルボン酸からなる群から選択されるピリジン化合物である、請求項1に記載のCMP組成物。
  18. 前記CMP組成物のpHが、7〜11の範囲である、請求項1に記載のCMP組成物。
  19. 前記CMP組成物の伝導率が、0.3〜9ミリジーメンス毎センチメートルの範囲である、請求項1に記載のCMP組成物。
  20. 前記組成物が、除去速度選択性に関する添加剤、pH調節剤、界面活性剤、分散剤、及び生物学的成長抑制剤からなる群から選択される少なくとも1種の添加剤を含む、請求項1に記載のCMP組成物。
  21. 前記研磨材が、−25ミリボルトより負のゼータ電位を有する粒子を含む、請求項1に記載のCMP組成物。
  22. (a)第一の材料の少なくとも1つの表面と、CMP研磨パッドとを接触させる工程と、
    (b)請求項1に記載のCMP研磨組成物を少なくとも前記1つの表面に輸送する工程と、
    (c)前記研磨組成物により前記少なくとも1つの表面を研磨して、10000オングストローム/分超の除去速度にて第一の材料を除去する工程と
    を含む、第一の材料と、第二の材料とを含む半導体デバイスの化学機械平坦化(CMP)研磨方法。
  23. 前記第一の材料が、熱酸化物、テトラエチルオルトシリケート(TEOS)前駆体を用いて堆積させたTEOS膜、高密度プラズマ(HDP)酸化物、高アスペクト比プロセス(HARP)膜、フッ化酸化物膜、ドープ酸化物膜、スピンオンガラス(SOG)、流動性化学気相堆積(CVD)膜、光学ガラス、ディスプレイガラス、及びこれらの組み合わせからなる群から選択される酸化ケイ素材料である、請求項22に記載の研磨方法。
  24. 前記第二の材料が、窒化ケイ素、ポリシリコン、及びこれらの組み合わせの群から選択される、請求項23に記載の研磨方法。
  25. (d)第一の材料と第二の材料とを有する少なくとも1つの表面を含むパターン化基材と、
    (e)研磨パッドと、
    (f)請求項1に記載のCMP研磨組成物とを含む化学機械平坦化装置であって、
    前記少なくとも1つの表面が前記研磨パッド及び前記研磨組成物と接触しており、前記第一の材料が、熱酸化物、テトラエチルオルトシリケート(TEOS)前駆体を用いて堆積させたTEOS膜、高密度プラズマ(HDP)酸化物、高アスペクト比プロセス(HARP)膜、フッ化酸化物膜、ドープ酸化物膜、スピンオンガラス(SOG)、流動性化学気相堆積(CVD)膜、光学ガラス、ディスプレイガラス、及びこれらの組み合わせからなる群から選択される酸化ケイ素材料である、装置。
JP2019147737A 2018-08-09 2019-08-09 酸化物材料を研磨するための化学機械平坦化組成物及びその使用方法 Pending JP2020026532A (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201862716769P 2018-08-09 2018-08-09
US201862716784P 2018-08-09 2018-08-09
US62/716,784 2018-08-09
US62/716,769 2018-08-09
US16/533,381 2019-08-06
US16/533,381 US11718767B2 (en) 2018-08-09 2019-08-06 Chemical mechanical planarization composition for polishing oxide materials and method of use thereof

Publications (1)

Publication Number Publication Date
JP2020026532A true JP2020026532A (ja) 2020-02-20

Family

ID=67587687

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2019147656A Active JP7469006B2 (ja) 2018-08-09 2019-08-09 酸化物化学機械平坦化(cmp)研磨組成物
JP2019147737A Pending JP2020026532A (ja) 2018-08-09 2019-08-09 酸化物材料を研磨するための化学機械平坦化組成物及びその使用方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2019147656A Active JP7469006B2 (ja) 2018-08-09 2019-08-09 酸化物化学機械平坦化(cmp)研磨組成物

Country Status (7)

Country Link
US (2) US11549034B2 (ja)
EP (2) EP3608379B1 (ja)
JP (2) JP7469006B2 (ja)
KR (2) KR20200018338A (ja)
CN (2) CN110819238A (ja)
SG (2) SG10201907380RA (ja)
TW (2) TWI723487B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022075179A1 (ja) * 2020-10-05 2022-04-14 花王株式会社 酸化珪素膜用研磨液組成物
WO2024111174A1 (ja) * 2022-11-21 2024-05-30 株式会社レゾナック Cmp用研磨液、cmp用研磨液セット及び研磨方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
KR20210116775A (ko) 2020-03-13 2021-09-28 삼성디스플레이 주식회사 표시 장치 및 그 제조 방법
WO2021231090A1 (en) * 2020-05-11 2021-11-18 Versum Materials Us, Llc Novel pad-1 n-a-bottle (pib) technology for advanced chemical-mechanical planarization (cmp) slurries and processes
JP2023527423A (ja) * 2020-05-29 2023-06-28 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー シャロートレンチアイソレーション用途のための低ディッシング酸化物cmp研磨組成物、及びその製造の方法
KR102422148B1 (ko) 2020-06-12 2022-07-15 성균관대학교산학협력단 연마 조성물의 제조 방법
KR102373919B1 (ko) * 2020-10-26 2022-03-15 솔브레인 주식회사 화학적 기계적 연마 슬러리 조성물 및 반도체 소자의 제조 방법
CN112375498A (zh) * 2020-11-13 2021-02-19 镇江丰成特种工具有限公司 一种蓝宝石晶片研磨液及其制备方法
US20230242791A1 (en) * 2022-02-03 2023-08-03 Cmc Materials, Inc. Ceria-based slurry compositions for selective and nonselective cmp of silicon oxide, silicon nitride, and polysilicon
CN118185477A (zh) * 2022-12-13 2024-06-14 安集微电子科技(上海)股份有限公司 一种化学机械抛光液及其用途

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005285944A (ja) * 2004-03-29 2005-10-13 Hitachi Chem Co Ltd 金属用研磨液及び研磨方法
JP2009218558A (ja) * 2008-02-12 2009-09-24 Hitachi Chem Co Ltd Cmp用研磨液、基板の研磨方法及び電子部品
US20140099790A1 (en) * 2012-05-10 2014-04-10 Air Products And Chemicals, Inc. Chemical Mechanical Polishing Composition Having Chemical Additives and Methods for Using Same
JP2017190450A (ja) * 2016-03-31 2017-10-19 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 複合粒子、その精製方法及び使用
JP2017193692A (ja) * 2016-04-22 2017-10-26 日揮触媒化成株式会社 シリカ系複合微粒子分散液、その製造方法及びシリカ系複合微粒子分散液を含む研磨用スラリー
WO2018062403A1 (ja) * 2016-09-29 2018-04-05 花王株式会社 研磨液組成物
JP2018512475A (ja) * 2015-03-05 2018-05-17 キャボット マイクロエレクトロニクス コーポレイション セリア研磨剤を含有する研磨組成物

Family Cites Families (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5616636A (en) 1979-07-19 1981-02-17 Sumitomo Chem Co Ltd Aluminous fiber-reinforced metal-base composite material having high formability
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US5876490A (en) 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
TW510917B (en) * 1998-02-24 2002-11-21 Showa Denko Kk Abrasive composition for polishing semiconductor device and method for manufacturing semiconductor device using same
US6468910B1 (en) 1999-12-08 2002-10-22 Ramanathan Srinivasan Slurry for chemical mechanical polishing silicon dioxide
US20020025762A1 (en) 2000-02-16 2002-02-28 Qiuliang Luo Biocides for polishing slurries
US6964923B1 (en) 2000-05-24 2005-11-15 International Business Machines Corporation Selective polishing with slurries containing polyelectrolytes
US6740589B2 (en) * 2000-11-30 2004-05-25 Showa Denko Kabushiki Kaisha Composition for polishing semiconductor wafer, semiconductor circuit wafer, and method for producing the same
KR100464429B1 (ko) * 2002-08-16 2005-01-03 삼성전자주식회사 화학 기계적 폴리싱 슬러리 및 이를 사용한 화학 기계적폴리싱 방법
US20030118824A1 (en) 2001-12-20 2003-06-26 Tokarz Bozena Stanislawa Coated silica particles and method for production thereof
US6616514B1 (en) * 2002-06-03 2003-09-09 Ferro Corporation High selectivity CMP slurry
US6645265B1 (en) 2002-07-19 2003-11-11 Saint-Gobain Ceramics And Plastics, Inc. Polishing formulations for SiO2-based substrates
JPWO2004061925A1 (ja) * 2002-12-31 2006-05-18 株式会社Sumco 化学的機械研磨用スラリー組成物、これを利用した半導体素子の表面平坦化方法及びスラリー組成物の選択比制御方法
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
KR100574225B1 (ko) 2003-10-10 2006-04-26 요업기술원 실리카에 세리아/실리카가 코팅된 화학적 기계적 연마용연마재 및 그 제조방법
KR100637772B1 (ko) 2004-06-25 2006-10-23 제일모직주식회사 반도체 sti 공정용 고선택비 cmp 슬러리 조성물
WO2006009160A1 (ja) 2004-07-23 2006-01-26 Hitachi Chemical Co., Ltd. Cmp研磨剤及び基板の研磨方法
US20060219663A1 (en) * 2005-03-31 2006-10-05 Applied Materials, Inc. Metal CMP process on one or more polishing stations using slurries with oxidizers
WO2007135794A1 (ja) 2006-05-19 2007-11-29 Hitachi Chemical Company, Ltd. 化学機械研磨用スラリー、化学機械研磨方法及び電子デバイスの製造方法
KR100851235B1 (ko) * 2007-03-13 2008-08-14 테크노세미켐 주식회사 평탄도 개선제를 함유한 화학기계적 연마 조성물 및 이를이용한 연마 방법
KR101760529B1 (ko) * 2009-06-05 2017-07-21 바스프 에스이 화학 기계적 평탄화(CMP)를 위한 CeO2 나노입자 코팅된 라스베리형 금속 산화물 나노구조체
CN102802875A (zh) 2009-06-25 2012-11-28 赢创德固赛有限公司 包含氧化铈和二氧化硅的分散体
EP2614122A4 (en) 2010-09-08 2014-01-15 Basf Se AQUEOUS CLEANSING COMPOSITIONS WITH N-SUBSTITUTED DIAZENIUM DIOXIDE AND / OR N'-HYDROXY DIAZENIUM OXIDE SALTS
CN103097476B (zh) 2010-09-08 2016-02-17 巴斯夫欧洲公司 化学机械抛光用于电子、机械和光学器件的衬底的含水抛光组合物和方法
WO2012102187A1 (ja) 2011-01-25 2012-08-02 日立化成工業株式会社 Cmp研磨液及びその製造方法、複合粒子の製造方法、並びに基体の研磨方法
TWI573864B (zh) * 2012-03-14 2017-03-11 卡博特微電子公司 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物
SG11201509374WA (en) * 2013-05-15 2015-12-30 Basf Se Use of chemical-mechanical polishing (cmp) composition for polishing substance or layer containing at least one iii-v material
JP6139975B2 (ja) 2013-05-15 2017-05-31 株式会社フジミインコーポレーテッド 研磨用組成物
JP2016175949A (ja) 2013-08-09 2016-10-06 コニカミノルタ株式会社 Cmp用研磨液
CN104673473A (zh) * 2013-11-30 2015-06-03 鸿富锦精密工业(深圳)有限公司 水基磨削液及其制备方法
JP6285775B2 (ja) 2014-03-31 2018-02-28 日揮触媒化成株式会社 研磨用金属担持金属酸化物粒子および研磨剤
JP6371193B2 (ja) 2014-10-22 2018-08-08 日揮触媒化成株式会社 シリカ系複合粒子分散液の製造方法
JP6510812B2 (ja) 2014-12-26 2019-05-08 花王株式会社 酸化珪素膜研磨用研磨粒子
WO2016104611A1 (ja) * 2014-12-26 2016-06-30 花王株式会社 酸化珪素膜研磨用研磨液組成物
KR20190091579A (ko) 2015-01-12 2019-08-06 버슘머트리얼즈 유에스, 엘엘씨 화학적 기계적 평탄화 조성물용 복합 연마 입자 및 이를 사용하는 방법
JP6603142B2 (ja) 2015-01-20 2019-11-06 日揮触媒化成株式会社 シリカ系複合微粒子分散液、その製造方法及びシリカ系複合微粒子分散液を含む研磨用スラリー
JP5979340B1 (ja) 2015-02-10 2016-08-24 堺化学工業株式会社 研磨用複合粒子、研磨用複合粒子の製造方法及び研磨用スラリー
EP3279142B1 (en) 2015-03-31 2021-01-06 JGC Catalysts and Chemicals Ltd. Silica-based composite fine-particle dispersion, method for producing same, and polishing slurry including silica-based composite fine-particle dispersion
US10032644B2 (en) * 2015-06-05 2018-07-24 Versum Materials Us, Llc Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives
US10144850B2 (en) 2015-09-25 2018-12-04 Versum Materials Us, Llc Stop-on silicon containing layer additive
CN105462504A (zh) * 2015-12-11 2016-04-06 蓝思科技(长沙)有限公司 一种c向蓝宝石抛光液及其制备方法
KR20170076058A (ko) * 2015-12-24 2017-07-04 주식회사 케이씨텍 연마입자-분산층 복합체 및 그를 포함하는 연마 슬러리 조성물
EP3447790B1 (en) * 2016-04-22 2023-05-24 JGC Catalysts and Chemicals Ltd. Silica-based composite fine particle dispersion and method for manufacturing same
JP6829007B2 (ja) 2016-05-18 2021-02-10 日揮触媒化成株式会社 シリカ系複合微粒子分散液、その製造方法及びシリカ系複合微粒子分散液を含む研磨用スラリー
JP6710100B2 (ja) 2016-05-18 2020-06-17 日揮触媒化成株式会社 シリカ系複合微粒子分散液の製造方法
JP6957265B2 (ja) 2016-09-29 2021-11-02 花王株式会社 研磨液組成物
US10920120B2 (en) 2016-11-14 2021-02-16 Jgc Catalysts And Chemicals Ltd. Ceria composite particle dispersion, method for producing same, and polishing abrasive grain dispersion comprising ceria composite particle dispersion
CN106848606B (zh) 2016-12-29 2021-01-05 上海华为技术有限公司 一种天线系统
TWI773717B (zh) * 2017-01-16 2022-08-11 日商日揮觸媒化成股份有限公司 研磨組合物及研磨基板之製造方法
US10491475B2 (en) * 2017-07-31 2019-11-26 Bank Of America Corporation Proxy automatic configuration file manager
US10316218B2 (en) 2017-08-30 2019-06-11 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous silica slurry compositions for use in shallow trench isolation and methods of using them
US20190127607A1 (en) 2017-10-27 2019-05-02 Versum Materials Us, Llc Composite Particles, Method of Refining and Use Thereof
KR20200025542A (ko) * 2018-08-30 2020-03-10 삼성전자주식회사 화학적 기계적 연마용 슬러리 조성물

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005285944A (ja) * 2004-03-29 2005-10-13 Hitachi Chem Co Ltd 金属用研磨液及び研磨方法
JP2009218558A (ja) * 2008-02-12 2009-09-24 Hitachi Chem Co Ltd Cmp用研磨液、基板の研磨方法及び電子部品
US20140099790A1 (en) * 2012-05-10 2014-04-10 Air Products And Chemicals, Inc. Chemical Mechanical Polishing Composition Having Chemical Additives and Methods for Using Same
JP2018512475A (ja) * 2015-03-05 2018-05-17 キャボット マイクロエレクトロニクス コーポレイション セリア研磨剤を含有する研磨組成物
JP2017190450A (ja) * 2016-03-31 2017-10-19 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 複合粒子、その精製方法及び使用
JP2017193692A (ja) * 2016-04-22 2017-10-26 日揮触媒化成株式会社 シリカ系複合微粒子分散液、その製造方法及びシリカ系複合微粒子分散液を含む研磨用スラリー
WO2018062403A1 (ja) * 2016-09-29 2018-04-05 花王株式会社 研磨液組成物

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2022075179A1 (ja) * 2020-10-05 2022-04-14 花王株式会社 酸化珪素膜用研磨液組成物
WO2024111174A1 (ja) * 2022-11-21 2024-05-30 株式会社レゾナック Cmp用研磨液、cmp用研磨液セット及び研磨方法

Also Published As

Publication number Publication date
US11718767B2 (en) 2023-08-08
TW202009287A (zh) 2020-03-01
EP3608379B1 (en) 2024-07-03
TW202009286A (zh) 2020-03-01
TWI827643B (zh) 2024-01-01
US20200048496A1 (en) 2020-02-13
SG10201907379WA (en) 2020-03-30
KR20200018338A (ko) 2020-02-19
US20200048551A1 (en) 2020-02-13
JP7469006B2 (ja) 2024-04-16
KR20200018339A (ko) 2020-02-19
SG10201907380RA (en) 2020-03-30
EP3608378A1 (en) 2020-02-12
TWI723487B (zh) 2021-04-01
CN110819238A (zh) 2020-02-21
JP2020029554A (ja) 2020-02-27
EP3608379A1 (en) 2020-02-12
CN110857380A (zh) 2020-03-03
US11549034B2 (en) 2023-01-10

Similar Documents

Publication Publication Date Title
TWI723487B (zh) 用於研磨氧化物材料的化學機械平坦化組合物及其使用方法
JP6557273B2 (ja) 複合粒子、その精製方法及び使用
JP6581198B2 (ja) 化学機械平坦化組成物用の複合研磨粒子及びその使用方法
JP6748172B2 (ja) 複合材粒子、その精製方法及びその使用
TWI615450B (zh) 使用二氧化鈰塗佈的二氧化矽硏磨料之阻絕物化學機械平坦化漿料
JP5038199B2 (ja) 酸化物cmpのための組成物
KR101906135B1 (ko) 수성 연마 조성물 및 산화규소 유전체 및 폴리실리콘 필름을 함유하는 기판의 화학적 기계적 연마 방법
KR20160009644A (ko) 적어도 하나의 iii-v 재료를 포함하는 물질 또는 층을 연마하기 위한 cmp 조성물의 용도
WO2016021325A1 (ja) Cmp用研磨液及びこれを用いた研磨方法
JP6938855B2 (ja) Cmp用研磨液及びこれを用いた研磨方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220628

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230320

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230418

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230713

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20231128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240220

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20240516