TWI615450B - 使用二氧化鈰塗佈的二氧化矽硏磨料之阻絕物化學機械平坦化漿料 - Google Patents

使用二氧化鈰塗佈的二氧化矽硏磨料之阻絕物化學機械平坦化漿料 Download PDF

Info

Publication number
TWI615450B
TWI615450B TW105117471A TW105117471A TWI615450B TW I615450 B TWI615450 B TW I615450B TW 105117471 A TW105117471 A TW 105117471A TW 105117471 A TW105117471 A TW 105117471A TW I615450 B TWI615450 B TW I615450B
Authority
TW
Taiwan
Prior art keywords
group
particles
acid
combinations
salt
Prior art date
Application number
TW105117471A
Other languages
English (en)
Other versions
TW201708452A (zh
Inventor
曉波 史
詹姆士 艾倫 史魯特
馬克 李納德 歐尼爾
迪昂奈許 向魯康德 坦波利
Original Assignee
慧盛材料美國責任有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 慧盛材料美國責任有限公司 filed Critical 慧盛材料美國責任有限公司
Publication of TW201708452A publication Critical patent/TW201708452A/zh
Application granted granted Critical
Publication of TWI615450B publication Critical patent/TWI615450B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

包含複合粒子,例如二氧化鈰塗佈的二氧化矽粒子,的化學機械平坦化(CMP)拋光組合物提供介於不同膜之間的可調整拋光移除選擇性值。組合物能達成在互連件金屬及該氧化矽介電質上的高移除速率,同時將拋光阻擋層提供於低-k介電質、a-Si及鎢膜上。化學機械平坦化(CMP)拋光組合物使用軟質拋光墊顯示了優良性能。

Description

使用二氧化鈰塗佈的二氧化矽研磨料之阻絕物化學機械平坦化漿料 相關申請案之交互參照
本專利申請案請求6/05/2015申請的美國臨時專利申請案序號第62/171,360號的權益。
本發明關於在製造半導體裝置時的化學機械平坦化(“CMP”)拋光組合物(CMP漿料、CMP組合物或CMP配方可互相交換使用),及用於進行化學機械平坦化的拋光方法。特別是,其關於包含複合研磨粒子的拋光組合物,該等複合研磨粒子適用於拋光由鈷(Co)、SiN及氧化物材料所構成的圖案化半導體晶圓。
積體電路(IC)製程,例如大塊金屬層、傳統Cu阻絕物層拋光、最近的Co阻絕物層拋光、淺溝槽隔離(STI)、層間介電層(ILD)CMP及閘極多晶矽CMP等等,皆分成數個CMP步驟。
供此應用用的典型拋光組合物含有:研磨料、腐 蝕抑制劑及任意地其他化學藥品。阻絕物CMP拋光組合物中可包括其他化學藥品以改善安定性,加強移除速率,抑制移除速率,或包括抑制劑以提供防止CMP期間及CMP後製程的腐蝕之金屬膜表面。
在用於CMP漿料的常見研磨料例如二氧化矽、三氧化二鋁、二氧化鋯、二氧化鈦等等當中,二氧化鈰為眾人所知的是其對二氧化矽的高反應性而且被廣泛用於STI CMP組合物以便基於二氧化鈰對矽氧化物的高反應性而獲得最高氧化物移除速率(RR)。然而,另外知悉二氧化鈰粒子將造成嚴重刮傷,其用於拋光金屬膜問題甚至可能更大。二氧化鈰粒子不常被用作阻絕物CMP漿料組合物的研磨料及與軟質拋光墊一起使用。所以必須為先進應用研發以新穎研磨料類型為基礎的組合物。
因為該半導體技術已經進步了,所以有許多關於新膜材料應用及複雜整合方案的挑戰。舉例來說,有人介紹鈷當成銅結構的阻絕材料及互連線材料。在必需變化鈷與其他類型膜之間的選擇性方面有許多整合方案。某些應用於Co與氧化物介電質之間需要1:1拋光選擇性,某些應用可能需要於不同膜例如鎢、非晶矽、多晶矽或低-k膜上之額外拋光阻擋層。某些應用也可能需要某些下方膜例如氮化鈦或鈦的高移除速率。這些挑戰性整合方案需要新穎的漿料組合物以符合CMP性能目標。
本文所述的是滿足該需求的阻絕物CMP拋光組合物、方法及系統。
在一態樣中,本文所述的是一種拋光組合物,其包含:0.01重量%至20重量%的研磨料,其係選自由包含表面覆蓋著奈米粒子的核心粒子之複合粒子;選自由二氧化矽、三氧化二鋁、二氧化鋯、二氧化鈦、二氧化鈰、經表面修飾的無機氧化物粒子及其組合所組成的群組之研磨粒子;及其組合所組成的群組;0.0001重量%至5重量%的pH-調節劑;0.0005重量%至0.5重量%的腐蝕抑制劑;及剩下部分的水;其中該核心粒子係選自由二氧化矽、三氧化二鋁、二氧化鈦、二氧化鋯、聚合物粒子及其組合所組成的群組;而且該奈米粒子係選自由鋯、鈦、鐵、錳、鋅、鈰、釔、鈣、鎂、鑭、鍶奈米粒子及其組合所組成的群組;而且該拋光組合物具有約2至11的pH。
在另一具體實施例中,本文所述的是一種用於半導體裝置的化學機械平坦化之拋光方法,該半導體裝置包含具有第一材料及第二材料的至少一表面,該第一材料係選自由金屬或合金形式的Co、Cu、Al及其組合所組成的群組,該方法包含以下步驟:a)使該至少一表面與拋光墊接觸;b)將拋光組合物送至該至少一表面,該拋光組合物包含:0.01重量%至20重量%的研磨料,其係選自由包含表面覆蓋著奈米粒子的核心粒子之複合粒子;選自由二氧化矽、 三氧化二鋁、二氧化鋯、二氧化鈦、二氧化鈰、經表面修飾的無機氧化物粒子及其組合所組成的群組之研磨粒子;及其組合所組成的群組;0.0001重量%至5重量%的pH-調節劑;0.0005重量%至0.5重量%的腐蝕抑制劑;及剩下部分的水;其中該等核心粒子係選自由二氧化矽、三氧化二鋁、二氧化鈦、二氧化鋯、聚合物粒子及其組合所組成的群組;而且該等奈米粒子係選自由鋯、鈦、鐵、錳、鋅、鈰、釔、鈣、鎂、鑭、鍶奈米粒子及其組合所組成的群組;及該拋光組合物具有約2至11的pH;及c)以該拋光組合物來拋光該至少一表面。
在又另一態樣中,本文所述的是一種用於化學機械平坦化之系統,其包含:一半導體裝置,其包含具有第一材料及第二材料的至少一表面,該第一材料係選自由金屬或合金形式的Co、Cu、Al及其組合所組成的群組;一拋光墊;及一拋光組合物,其包含:0.01重量%至20重量%的研磨料,其係選自由包含表面覆蓋著奈米粒子的核心粒子之複合粒子;選自由二氧化矽、三氧化二鋁、二氧化鋯、二氧化鈦、二氧化鈰、經表面修飾的無機氧化物粒子及其組合所組成的群組之研磨粒子;及其組合所組成的群組; 0.0001重量%至5重量%的pH-調節劑;0.0005重量%至0.5重量%的腐蝕抑制劑;及剩下部分的水;其中該等核心粒子係選自由二氧化矽、三氧化二鋁、二氧化鈦、二氧化鋯、聚合物粒子及其組合所組成的群組;而且該等奈米粒子係選自由鋯、鈦、鐵、錳、鋅、鈰、釔、鈣、鎂、鑭、鍶奈米粒子及其組合所組成的群組;而且該拋光組合物具有約2至11的pH;而且其中該至少一表面與該拋光墊及該拋光組合物接觸。
該拋光組合物能另外包含以下中之至少其一:(1)0.0010重量%至約1.0重量%的分散添加物,其係選自由有機酸或其鹽;聚合性酸或其鹽;水溶性共聚物或其鹽;同一共聚物分子中含有至少二酸基的共聚物或其鹽,該至少二酸基係選自由羧酸基、磺酸基及膦酸基所組成的群組;聚乙烯酸或其鹽、聚環氧乙烷;聚環氧丙烷;及其組合所組成的群組;(2)0.001重量%至5重量%的氧化劑,其係選自由過碘酸、過碘酸鹽、過溴酸、過溴酸鹽、過氯酸、過氯酸鹽、過硼酸及過硼酸鹽、高錳酸鹽、溴酸鹽、氯酸鹽、鉻酸鹽、碘酸鹽、碘酸及其組合所組成的群組;(3)0.001重量%至5重量%的螯合劑,其係選自由以下所組成的群組:有機酸或其鹽;聚合性酸或其鹽;水溶性共聚物或其鹽;同一共聚物分子中含有至少二酸基的共聚物或其鹽,該至少二酸基係選自由羧酸基、磺酸基、膦酸及吡 啶酸所組成的群組;聚乙烯酸或其鹽;無機矽酸鉀;矽酸銨;聚環氧乙烷;聚環氧丙烷;吡啶或其衍生物;聯吡啶或其衍生物;及其組合;及(4)0.0001重量%至10重量%的表面活性劑,其係選自以下所組成的群組:a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其組合。
在一具體實施例中,該等核心粒子係二氧化矽粒子;該等奈米粒子係二氧化鈰奈米粒子;而且該等複合粒子係表面覆蓋著單晶形二氧化鈰奈米粒子的非晶形二氧化矽二氧化鈰粒子;該等研磨粒子係二氧化矽粒子;該pH-調節劑係選自由氫氧化鈉、氫氧化鉀、氫氧化銨、氫氧化四級有機銨及其組合所組成的群組;而且該腐蝕抑制劑係選自由苯并三唑(BTA)、咪唑、三唑、吡唑、苯并咪唑、四唑、其相關衍生物及其組合所組成的群組。
在另一具體實施例中,該拋光組合物包含二氧化鈰塗佈的二氧化矽複合粒子;選自由苯并三唑、咪唑、三唑及其組合所組成的群組之腐蝕抑制劑;氫氧化銨或氫氧化鉀。
在又另一具體實施例中,該拋光組合物包含二氧化鈰塗佈的二氧化矽複合粒子;選自由苯并三唑、咪唑、三唑及其組合所組成的群組之腐蝕抑制劑;氫氧化銨或氫氧化鉀;而且另外包含二氧化矽研磨粒子;矽酸鉀或矽酸銨;及選自由炔二醇表面活性劑、醇乙氧基化物(alcohol ethoxylate)表面活性劑及其組合所組成的群組之表面活性劑。
在又另一具體實施例中,該拋光組合物包含二氧化鈰塗佈的二氧化矽複合粒子;選自由苯并三唑、咪唑、三 唑及其組合所組成的群組之腐蝕抑制劑;氫氧化銨或氫氧化鉀;而且另外包含二氧化矽研磨粒子;過氧化氫;矽酸鉀或矽酸銨;及選自由炔二醇表面活性劑、醇乙氧基化物表面活性劑及其組合所組成的群組之表面活性劑。
該等拋光組合物可提供就SiO2:Co而言1.0:4.0至4.0:1.0的移除速率選擇性;而且就Co:SiN而言1.0:1.0至6.0:1.0或10:1至15:1.0;而且該等拋光組合物一拋光到a-Si、OSG、SiOC及W便停止。
組合物也可任意地包含用於防止該等組合物中的生物生長之添加物。
在一些具體實施例中,本發明的拋光組合物係用以靠在軟質拋光墊上拋光導電金屬結構。
圖1顯示含有不同研磨料的CMP組合物對不同膜的移除速率。
圖2顯示於不同過氧化氫濃度下的CMP組合物對不同膜的移除速率。
隨著而來的詳細描述僅提供較佳示範具體實施例,而且無意限制本發明的範圍、適用性或組構。更確切地說,隨著而來的較佳示範具體實施例的詳細描述提供給熟悉此技藝者用於實施本發明的較佳示範具體實施例之授權描述。在元件的功能及佈置方面可完成不同變化而不會悖離如 後附申請專利範圍所述的發明之精神及範疇。
在該等申請專利範圍中,字母可用以識別有請求權項的方法步驟(例如,a、b及c)。除非而且只要是該等申請專利範圍有明確列舉此順序,該等字母係用以協助引用該等方法步驟而且無意指示有請求權項的步驟之順序。
本發明的組合物可用於拋光形成導電金屬結構圖形的晶圓表面上的一或許多膜。在某些具體實施例中,該導電金屬結構可由純金屬或合金態的鈷、銅或鋁構成,但不限於此。
先進技術使用膜的複合堆疊體形成多層裝置結構。整合方案必需微調不同膜之間的移除速率選擇性以形成該互連件結構。膜堆疊體可包括介電材料例如但不限於有機矽酸鹽低-k膜或聚合性低=k膜或氣隙結構。依據該互連件裝配方案的細節,需要額外的介電質以用作擴散阻絕層、頂蓋層、蝕刻阻擋層、硬罩及/或拋光阻擋層。被拿來使用的典型介電膜可包括但不限於矽氧化物膜如原由矽酸四乙酯(TEOS)前驅物所沉積者(之後稱之為TEOS膜)、高密度電漿氧化物膜(HDP氧化物)、電漿強化化學氣相沉積(PECVD)非晶形加氫矽氮化物(a-SiN:H)、k值為4.5至5.8的摻碳矽氮化物(a-SiNC:H)、k值為4.0至4.8的緻密摻氧矽碳化物(a-SiCO:H)及k值為4.0至7.0的純矽碳化物(a-SiC:H)。在某些應用中膜例如非晶矽(a-Si)及多晶矽也可用作拋光阻擋層。在某些應用中,可能涉及二互連件材料例如鈷及鎢的同時拋光,要求該二者之間的拋光速率的高選擇性。多重CMP步驟經常被用以形成這些互連件結構而且選擇性介於不同膜之間的選擇性需求在不同步驟之間皆不相同。本發明的組合物包含一些獨特 的性能特性而能於先進技術節點達成不同CMP應用,其包括但不限於
(1)拋光互連件金屬與介電膜例如矽氧化物或矽氮化物,於空白互連件膜與空白介電膜之間的移除速率選擇性係介於0.1與5之間,或更佳地介於0.25與2之間或最佳地介於0.5與1.5之間。
(2)拋光互連件金屬與低-k或LK(有機矽酸鹽玻璃(OSG)或聚合性絕緣體),於空白互連件膜與空白低-k介電膜之間的移除速率選擇性係大於10,或更佳地大於50或最佳地大於100。
(3)拋光互連件金屬與矽氧化物或矽氮化物介電質,於空白互連件膜與空白介電膜之間的移除速率選擇性係介於0.1與5之間,或更佳地介於0.25與2之間或最佳地介於0.5與1.5之間,同時於該互連件膜與含鎢膜之間的移除速率選擇性大於10,或更佳地大於50或最佳地大於100。
(4)拋光互連件金屬與矽氧化物或矽氮化物介電質,於空白互連件膜與空白介電膜之間的移除速率選擇性係介於0.1至5之間,或較佳地介於0.25與2之間或最佳地介於0.5與1.5之間,同時於該互連件膜與包含非晶矽或多晶矽的膜之間的移除速率選擇性大於10,或更佳地大於50或最佳地大於100。
(5)拋光互連件金屬與矽氧化物或矽氮化物介電質,於空白互連件膜與空白介電膜之間的移除速率選擇性係介於0.1至5之間,或較佳地介於0.25與2之間或最佳地介於0.5與1.5之間,同時於該介電膜與阻絕物或襯墊膜例如Ta、TaN、Ru、Co、Ti、TiN、自形成阻絕物層材料之間的移除速率選擇性係0.1至5,或較佳地介於0.25與2之間或最佳地介於0.5與1.5之間。
(6)拋光互連件金屬與阻絕物或襯墊膜例如Ta、TaN、Ru、Co、Ti、TiN、自形成阻絕物層材料二氧化矽介電質,於空白互連件膜與該空白阻絕物/襯墊膜之間的移除速率選擇性係介於0.1至5之間,或較佳地介於0.25與2之間或最佳地介於0.5與1.5之間,同時於該阻絕物/襯墊膜與拋光阻擋膜例如矽氮化物或矽碳化物或其衍生物之間的移除速率選擇性大於10,或更佳地大於50或最佳地大於100。
本發明所揭示的化學機械平坦化(“CMP”)組合物、方法及系統應該在金屬層拋光和氧化物拋光,例如Co及矽氧化物(SiO2),時提供高較高移除速率;在SiN層拋光時提供適當移除速率(尤其是靠軟質拋光墊),加上適當膜移除選擇性、低淺盤效應及低缺陷。
該等阻絕物CMP拋光組合物包含研磨料,例如複合粒子(例如二氧化鈰塗佈的膠態二氧化矽粒子)或複合粒子與膠態粒子(例如膠態二氧化矽粒子)的組合;與鈷離子或鈷氧化物反應以微調(增進或抑制)鈷(Co)阻絕物層/膜移除速率的化學螯合劑或螯合劑;用以將該CMP組合物的pH調整成最佳化pH條件,例如鹼性pH條件,的pH調節劑;提供Co阻絕物層表面防腐蝕作用的腐蝕抑制劑;增進不同膜表面濕潤作用的表面活性劑;及剩下部分的水。
該等研磨料包括,但不限於複合粒子,及複合粒子與二氧化矽、三氧化二鋁、二氧化鋯、二氧化鈦、二氧化鈰、經表面修飾的無機氧化物粒子或其組合之組合物。
關於複合粒子,該等複合粒子各自具有一核心粒子及許多覆蓋該核心粒子表面的奈米粒子。該核心粒子係選自由以下所組成的群組:二氧化矽、三氧化二鋁、二氧化鈦、 二氧化鋯及聚合物粒子。該等奈米粒子係選自由以下所組成的群組:鋯、鈦、鐵、錳、鋅、鈰、釔、鈣、鎂、鑭及鍶奈米粒子。
就固體重量比的角度來看覆蓋該等核心粒子表面的奈米粒子量較佳落在下列範圍。該等奈米粒子的固體重量(b)相對於該等核心粒子的固體重量(a)係為(b)/(a)=0.01至1.5,較佳為0.01至1.2。
該等複合粒子的實例之一係具有當成核心粒子的二氧化矽,而且各二氧化矽粒子表面皆覆蓋著二氧化鈰奈米粒子。該等二氧化矽基礎粒子係非晶形;而且該等二氧化鈰奈米粒子係單晶性。
該等粒子中的總鈰對矽的重量比較佳地可於0.05與10之間,或更佳地介於0.1與5之間或最佳地介於0.5與2之間。
該等核心二氧化矽粒子的尺寸可介於25nm至500nm之間,或更佳地介於50nm與150nm之間。覆蓋該等核心二氧化矽粒子的二氧化鈰奈米粒子尺寸可介於1nm與30nm之間,或更佳地介於5nm與20nm之間而且最佳地介於8與15nm之間。
二氧化鈰塗佈的二氧化矽粒子可依許多不同方式製造。二氧化鈰塗佈的二氧化矽粒子的某些較佳實例係描述於JP20131191131、JP2013133255、JP2015-169967及JP2015-183942。
本發明的另一態樣涉及使用在拋光力作用下不會破裂之二氧化鈰塗覆二氧化矽的粒子。假設若該等粒子在拋光力(亦即破碎力)作用下不會破裂而且還維持原粒子尺寸 的特徵,則該移除速率將會維持於高值。另一方面若該等粒子在拋光力作用下破裂,則該移除速率將由於有效較小的研磨粒子尺寸而降低。該等粒子的破裂也可能產生可能具有刮傷缺陷的不欲效應之不規則形粒子。在破碎力作用下的粒子安定性也能對該配方施以超波音處理半小時並且測量粒徑分布變化求出。超音波處理的較佳條件為在浴中配合42KHZ頻率於100W輸出功率下沉浸泡½小時。粒徑分布能藉由使用任何適合技術例如盤式離心(DC)法或動態光散射(DLS)法測量。粒徑分布變化能以平均粒徑或D50(低於此尺寸的50%粒子)或D99(低於此尺寸的99%粒子)或任何類似參數的變化界定其特徵。藉由使用舉例來說DC和平均粒徑、D50、D75及/或D99;經過超音波處理之後二氧化鈰塗覆二氧化矽的粒子之粒徑分布變化較佳為小於10%,更佳為小於5%或最佳為小於2%。這樣的安定性粒子用於CMP漿料配方中將會使拋光力能更有效用於膜材料去除而且也將會防止常常造成刮傷缺陷的任何不規則形狀產生。該等複合粒子的高機械完整性也能防止二氧化鈰奈米粒子從該等二氧化矽粒子的核心流失,其對於提供高移除速率非常重要。
在本發明的另一態樣中,該以二氧化矽為基礎的複合粒子具有在非晶形二氧化矽粒子A表面上且包括鋁、鋯、鈦、鐵、錳、鋅、鈰、釔、鈣、鎂、鑭和鍶當中的至少一類型元素在內的非晶形氧化物層C;及在該非晶形氧化物層C上且包括鋯、鈦、鐵、錳、鋅、鈰、釔、鈣、鎂、鑭和鍶當中的至少一類型元素在內的結晶性氧化物層B。
該等研磨料存於該組合物中的量為0.01重量%至20重量%,較佳地,0.05重量%至5重量%,更佳地,0.1重 量%至約1重量%。
二氧化鈰塗佈的二氧化矽粒子用於該組合物對某些膜類型例如鈷、不同類型的矽氧化物、矽氮化物及矽碳化物膜能獲得於相當低研磨粒子濃度下的極高移除速率,同時提供在某些類型的膜例如鎢、含碳低k膜(有機矽酸鹽玻璃及聚合性膜二者)、多晶矽及非晶矽(a-Si)上具有極低移除速率的能力。
在希望金屬性阻絕物膜例如TiN、Ta、TaN、Ru的高移除速率的某些具體實施例中,組合物也可額外包含其他已知研磨粒子,包括但不限於二氧化矽、三氧化二鋁、二氧化鋯、二氧化鈦、二氧化鈰、經表面修飾的無機氧化物粒子,及此技藝已知的其他研磨粒子。該等複合粒子及該等研磨粒子的總重量%係0.01重量%至20重量%。
因為先進CMP應用要求拋光之後在該介電質表面上有極低量金屬例如鈉,所以吾人所欲為該等漿料配方中具有極低量的微量金屬,尤其是鈉。在某些較佳具體實施例中該等配方包含二氧化鈰塗覆二氧化矽的粒子,該等粒子就該等配方中的每一粒子百分比來看以重量計具有小於5ppm,更佳為小於1ppm,最佳為小於0.5ppm的鈉雜質水準。該等研磨粒子可使用適當方法例如離子交換來純化以移除金屬雜質,其可協助改善該膠態穩定度。或者能使用由金屬矽酸鹽以外的前驅物製造的高純度二氧化矽研磨粒子。
一般而言,上述研磨粒子可單獨或彼此聯合使用。具有不同尺寸的二或更多研磨粒子也可聯合以獲得優良性能。
該等較佳研磨粒子為膠態二氧化矽研磨粒子。二 氧化鈰塗佈的二氧化矽粒子與二氧化矽研磨粒子的組合可能產生矽氧化物/氮化物膜與金屬性阻絕物膜的高移除速率的組合。該二氧化矽可能是沉澱二氧化矽、發煙二氧化矽、發煙二氧化矽、熱解二氧化矽、摻雜一或更多調節劑的二氧化矽或任何其他以二氧化矽為基礎的化合物中的任何者。在一可供選用的具體實施例中,該二氧化矽能,舉例來說,藉由選自由溶凝膠程序、水熱程序、電漿程序、發煙程序、沉澱程序及其任何組合所組成的群組的程序製造。
有一具體實施例中的二氧化矽於介於約2與約300奈米之間,舉例來說介於約30與約250奈米之間的粒徑係有益的。
使用的膠態二氧化矽粒子可為球形或繭形。
適合的螯合劑包括,但不限於有機酸類及其鹽;聚合性酸類及其鹽;水溶性共聚物及其鹽;同一共聚物分子中含有至少二酸基的共聚物或其鹽,該至少二酸基係選自由羧酸基、磺酸基;磷酸類;及吡啶酸類所組成的群組;聚乙烯酸或其鹽、無機矽酸鉀及矽酸銨;聚環氧乙烷;聚環氧丙烷;吡啶、吡啶衍生物、聯吡啶、聯吡啶衍生物及其組合。
該有機酸包括但不限於胺基酸類;羧酸類;磷酸類;磺酸類;聚乙烯酸類;及吡啶酸類;聯吡啶酸類。
該等聚合性酸及其鹽包括但不限於具有選由以下所組成的群組的官能基之聚合性化合物:羧酸類及其鹽;磺酸類及其鹽;膦酸類及其鹽;吡啶酸類及其鹽。實例係聚合性羧酸類及其鹽;聚合性磺酸類及其鹽;聚合性膦酸類及其鹽;聚合性吡啶酸類及其鹽。更明確的實例係聚丙烯酸類及其鹽;聚苯乙烯磺酸類及其鹽;聯吡啶酸類及其鹽。
該螯合劑的量相對於該等阻絕物CMP組合物的總重量介於約0.001重量%至約5重量%。較佳範圍為約0.01重量%至約2.0重量%而且更佳範圍為約0.1重量%至約1.0重量%。
該pH-調節劑包括,但不限於,氫氧化物、胺類、有機酸類及無機酸類。
該適合的氫氧化物包括但不限於氫氧化鈉、氫氧化鉀、氫氧化銨、氫氧化四級有機銨(例如氫氧化四甲基銨)及其混合物。
該適合的無機酸包括但不限於硝酸、磺酸、磷酸、氯化氫及其混合物。
該pH-調節劑的量相對於該CMP組合物的總重量介於約0.0001重量%至約5重量%。較佳範圍為約0.0005重量%至約1重量%,而且更佳範圍為約0.0005重量%至約0.5重量%,而且最佳範圍為約0.001重量%至約0.1重量%。
該CMP組合物的pH介於約2至約12。該漿料組合物的最佳pH取決於特定應用的指定性能要求。對必需拋光鈷膜的某些具體實施例,為了降低拋光期間的鈷腐蝕可能希望較高pH(>8)。在需要抑制膜例如a-Si、多晶矽膜、矽氮化物、矽碳化物的移除速率能力的某些其他具體實施例中,儘管仍舊需要矽氧化物膜的高移除速率,但是卻可能希望pH<8。
舉例來說,具有5.0至8.0的pH的拋光組合物提供就SiO2:Co而言1.0:4.0至4.0:1.0的移除速率選擇性;及就Co:SiN而言10:1至15:1.0。
具有8.0至11的pH的拋光組合物提供就SiO2:Co而言1.0:4.0至4.0:1.0的移除速率選擇性;及就Co: SiN而言1.0:1.0至6.0:1.0;而且一拋光鎢到便停止。
具有5.0至9.0的pH的拋光組合物提供就SiO2:Co而言1.0:4.0至4.0:1.0的移除速率選擇性;及就Co:SiN而言10:1至15:1.0;而且一拋光到a-Si、OSG、SiOC及W便停止。
該適合的腐蝕抑制劑包括,但不限於苯并三唑(BTA)、咪唑、三唑及其相關衍生物、吡唑及其衍生物、苯并咪唑及其衍生物、四唑及其衍生物及其組合。
該腐蝕抑制劑的量相對於該CMP組合物的總重量介於約0.0005重量%至約0.5重量%。較佳的範圍為約0.0025%至約0.15重量%,而且更佳的範圍為約0.01重量%至約0.1重量%。
本發明的CMP組合物可包含0.0001重量%至10重量%的一或更多不同表面活性劑。
儘管就該組合物而言有許多適合的表面活性劑添加物,但是較佳的表面活性劑添加物包括硫酸十二酯鈉鹽、硫酸月桂酯鈉鹽、硫酸十二酯銨鹽、醇乙氧基化物、炔屬表面活性劑及其任何組合。適當的市售可得的表面活性劑包括Dow Chemicals所製造的TRITON DF 16TM及Air Products and Chemicals所製造的不同表面活性劑SUIRFYNOLTM、DYNOLTM、ZetasperseTM、NonidetTM和TomadolTM表面活性劑群組。
分子量介於低於1000至高於30,000的不同陰離子型、陽離子型、非離子型及兩性表面活性劑被拿來考慮當成分散劑。該等分散劑包括硬脂酸、硫酸月桂酯、聚磷酸烷酯、磺酸十二基苯酯、磺酸二異丙基萘酯、磺基丁二酸二辛 酯、乙氧基化和硫酸化月桂醇及乙氧基化和硫酸化烷基酚的鈉、鉀或較佳地銨鹽類。
不同的陽離子型表面活性劑包括聚乙烯亞胺、乙氧基化脂肪族胺及氯化-或硝酸-硬脂醯基苯甲基二甲基銨。本發明考慮的可供選擇的分散劑包括:聚甘醇類、卵磷脂、聚乙烯基吡咯烷酮、聚氧乙烯、異辛基苯基醚、聚氧乙烯壬基苯基醚、磺酸烷基芳酯的胺鹽類、聚丙烯酸酯和相關鹽類、聚甲基丙烯酸酯。
若將表面活性劑加於最初的CMP漿料,則其可為陰離子型、陽離子型、非離子型或兩性表面活性劑,或可使用二或更多表面活性劑的組合。再者,已發現添加表面活性劑可能有用於降低晶圓的晶圓內不均勻度(within-wafer-non-uniformity,WIWNU),從而改改善該晶圓表面並且減少晶圓缺陷。
一般而言,可用於最初CMP組合物中的添加物例如表面活性劑的量應該足以達成該漿料的有效安定化而且通常將根據選定的特定表面活性劑及該金屬氧化物研磨料表面的特性而變。舉例來說,若使用不足的選定表面活性劑,對最初CMP組合物安定化將會有微小或沒有效應。另一方面,該CMP組合物中太多表面活性劑可能造成該組合物中不欲的發泡及/或絮凝現象。結果,安定劑例如表面活性劑一般應該在本發明的組合物中存有介於約0.001重量%至約0.2重量%的量,而且較佳為約0.001至約0.1重量百分比。再者,該添加物可利用已知技術直接加於該組合物或處理加到該金屬氧化物研磨料表面上。在任一案例中,調整該添加物的量以達成最初拋光漿料中的期望濃度。
較佳的表面活性劑係選自由以下所組成的群組的非離子型表面活性劑:炔二醇表面活性劑,例如來自Air Products and Chem的DynolTM 607及來自Sigma-Aldrich®的醇乙氧基化物表面活性劑,例如Brij ® L23及來自Air Products and Chemicals的DynolTM 607。
該CMP組合物可包含分散添加物以使粒子分散安定化。
該適合的分散添加物包括,但不限於有機酸類及其鹽;聚合性酸及其鹽;水溶性共聚物及其鹽;同一共聚物分子中含有至少二不同類型酸基的共聚物及其鹽,該至少二酸基係選自由羧酸基、磺酸基及膦酸基所組成的群組;聚乙烯酸及其鹽;聚環氧乙烷;聚環氧丙烷;及其組合。
聚合性酸的實例包括但不限於聚丙烯酸、聚甲基丙烯酸、聚苯乙烯磺酸及其鹽。該等聚合物的數量平均分子量可介於1000至1,000,000或更佳地介於2000與100,000之間或最佳地介於10,000與50,000之間。
分散添加物的量介於相對於該CMP組合物的總重量約0.0010重量%至約1.0重量%。較佳範圍為約0.005重量%至約0.5重量%,而且更佳範圍為約0.01重量%至約0.25重量%。
[0069]本發明的CMP組合物也可包含用於促進金屬性膜例如Ti、TiN、Ta、TaN、Co、Cu等等的拋光速率的一或更多不同氧化劑。
文獻中曾記載不同氧化劑例如過碘酸、過碘酸鹽、過溴酸、過溴酸鹽、過氯酸、過氯酸鹽、過硼酸及過硼酸鹽及高錳酸鹽,以及溴酸鹽、氯酸鹽、鉻酸鹽、碘酸鹽、 碘酸及鈰(IV)化合物。據悉CMP時最常用的是為過氧化氫、碘酸或其鹽類及過碘酸或其鹽類。過氧化氫係最佳的氧化劑。
氧化劑添加有可能將粒子表面上的Ce(III)氧化成Ce(IV)狀態。因為有更多表面由於氧化劑添加而從Ce(III)被轉化成Ce(IV),所以該矽氧化物的移除速率可能於過量氧化劑濃度下降低。
氧化劑濃度提高一般也可能會導致鈷膜移除速率降低。
該氧化劑添加的添加量可能取決於金屬性膜及矽氧化物膜移除速率的預期水準。能達成金屬性膜與矽氧化物膜二者的高移除速率的氧化劑濃度較佳範圍係0.001重量%至5重量%,或更佳地介於0.005重量%與3重量%之間。對某些應用,因為該粒子表面上的Ce(III)離子可提供必需的氧化反應,所以可能不需要添加額外的氧化劑。
在某些具體實施例中,該等配方也可包含安定化化合物以防止過氧化氫受該等粒子表面上的鈰離子影響而分解。適合的安定劑可選自廣大範圍的有機及無機組分例如但不限於抗壞血酸、檸檬酸、乙二胺四醋酸、不同磷酸鹽化合物、膠態錫酸鹽等等。該等安定劑化合物可能存有0.00001重量%至0.5重量%,或更佳地介於0.0001重量%與0.1重量%之間的濃度範圍。
當CMP組合物的pH範圍為4.5至10.0時,該CMP組合物便可包含防止儲存期間的細菌和黴菌生長的生物生長抑制劑或防腐劑。
該等生物生長抑制劑包括,但不限於,氯化四甲基銨、氯化四乙基銨、氯化四丙基銨、氯化烷基苯甲基二甲 基銨和氫氧化烷基苯甲基二甲基銨(其中該烷基鏈介於1至約20個碳原子)、亞氯酸鈉及次氯酸鈉。
在某些具體實施例中,該等組合物能被製成更濃的形態而且於使用點處以水稀釋以降低運送及處理成本。氧化劑例如過氧化氫也能於使用點處加入。
一些市售可得的防腐劑包括來自Dow chemicals的KATHONTM和NEOLENETM產品群組及來自Lanxess的PreventolTM群組。更多的揭露於美國專利第5,230,833號(Romberger等人)及美國專利申請案編號US 20020025762。在此以引用的方式將其全文併入本文。
本發明的配方尤其能有效靠軟墊達成高矽氧化物移除速率及低缺陷度。CMP墊子能利用測定硬對軟聚合物片段比率的各式各樣技術例如蕭氏(Shore)硬度測試、動態機械分析、超音波特徵化、組成分析等等來界定其彈性或硬度特徵。照ASTM D2240至10 ASTM標準所述的方法測量的Shore D硬度測試係CMP墊子硬度眾所周知的測試方法。儘管在區別軟墊和硬墊的文獻中並沒有清楚定義,但是一般被視為硬墊的CMP墊子例如IC1000和IC1010(由Dow Chemicals供應)具有57的Shore D硬度。被視為軟墊的CMP墊子例如Dow Ikonic 2000系列具有小於45的Shore D硬度。其他市售可得的軟墊實例包括來自Dow Chemicals的Politex系列墊子、來自Cabot Microelectronics的EPIC D200系列墊子、來自Fujibo的Fujibo H7000N墊子、來自Nexplanar的Nexplanar 11EG、來自Dow Chemicals的VP3500墊子。
當靠軟墊拋光時,包含二氧化鈰塗佈的二氧化矽粒子之本發明配方提供在TEOS膜上與包含帶有同等平均粒 徑及研磨粒子負載的其他類型粒子之類似配方相比至少2倍的移除速率。
該材料1對材料2(材料1:材料2)的移除選擇性係定義成該材料1的移除速率對材料2的移除速率之比率:
材料1的移除速率
材料2的移除速率
本發明的組合物提供介於4.0至1.0,較佳地2.5至1.0,更佳地,1.5至1.0的Co:SiN移除選擇性;Co:SiO2的移除速率選擇性介於3.0至0.5,較佳地,2.0至0.7,更佳地,1.1至0.9;而且SiO2:SiN的移除選擇性介於4.0至1.0,較佳地,2.5至1.0,更佳地,1.5至1.0。
通用實驗程序
拋光墊,Fujibo H7000HN墊子或Fujibo H800墊子,由Narubeni America有限公司供應,係用於CMP製程。Co(PVD)阻絕物層係由PVD製程來沉積。SiN膜係由PECVD沉積製程來沉積。TEOS氧化物膜係由化學氣相沉積法(CVD)使用原矽酸四乙酯當前驅物來沉積。
參數:
Å:埃-長度的單位
BP:背壓,以psi單位表示
CMP:化學機械平坦化=化學機械拋光
CS:載具速度
DF:向下作用力:CMP期間施加的壓力,單位psi
min:分鐘
ml:毫升
mV:毫伏特
psi:每平方吋磅數
PS:拋光設備的壓盤轉動速度,以rpm(每分鐘轉數)表示
SF:拋光組合物流量,ml/min
移除速率及移除選擇性
移除速率(RR)=(拋光前的膜厚度-拋光後的膜厚度)/拋光時間
PVD Co RR:於該CMP設備的1.5psi(軟質Fujibo墊子)向下壓力下測得的PVD Co移除速率
SiN RR:於該CMP設備的1.5psi(軟質Fujibo墊子)向下壓力下測得的SiN移除速率
TEOS RR:於該CMP設備的1.5psi(軟質Fujibo墊子)向下壓力下測得的TEOS移除速率
TEOS/Co/SiN=TEOS RR/Co RR/SiN RR的移除選擇性;於相同向下作用力(psi)
除非另行指明,否則所有百分比皆為重量百分比。
在以下所示的實施例中,使用以下提供的程序及實驗條件進行CMP實驗。
該等實施例所用的CMP設備為200mm Mirra®,或300mm LK拋光機,由加州,聖塔克拉拉,95054,Bowers大道3050號的Applied Materials公司製造。於該壓盤上使用由Narubeni America有限公司所供應的Fujibo H7000HN或H800墊子(二者皆被視為軟墊)以供空白晶圓拋光研究之用。 墊子係藉由拋光25個仿氧化物(藉由電漿強化CVD由TEOS前驅物沉積,PETEOS)晶圓而磨合(break-in)。為了使設備設定及墊子磨合適合,利用Air Products Chemical股份有限公司所供應的Syton® OX-K膠態二氧化矽於基準條件下拋光二PETEOS監視器。拋光實驗係利用電鍍沉積銅、低-k介電層材料(有機矽酸鹽玻璃,例如Black Diamond®/BD1及BD2x)、TEOS及氮化鉭晶圓來進行。這些空白晶圓係自加州,95126,坎貝爾大道1150號,Silicon Valley Microelectronics,及Advantiv有限公司購得。
在下列CMP組合物中,該等二氧化鈰塗佈的二氧化矽粒子係具有充當核心粒子的二氧化矽及於該二氧化矽粒子表面上的二氧化鈰奈米粒子之複合粒子。藉由盤式離心法(來自CPS Instruments的DC24000 UHR)測量到的二氧化鈰塗佈的二氧化矽粒子平均粒徑係96nm。該等二氧化鈰塗佈的二氧化矽粒子係由JP20131191131、JP2013133255、JP2016-084243A、JP2015-169967及JP2015-183942所述的方式製造。
工作實施例 實施例1
Co阻絕物CMP拋光組合物係藉著以下製備:0.1至0.75重量%二氧化鈰塗佈的膠態二氧化矽粒子充當研磨料;0.1重量%至約1.0重量%矽酸鉀或矽酸銨充當微調鈷及氧化物移除速率的螯合劑;0.01重量%至0.25重量%的BTA、咪唑、三唑或其相關衍生物充當抑制鈷膜表面腐蝕的腐蝕抑制劑;0.001至0.25重量%的聚丙烯酸及其鹽、聚丙烯酸銨、 聚丙烯酸鉀、聚苯乙烯磺酸或其鹽,充當使粒子分散安定化的分散劑而且也充當微調SiN移除速率的添加物;0.001重量%至0.1重量%硝酸或氫氧化鉀充當pH調節劑。
工作實施例的指定組合物包含下列組分:二氧化鈰塗佈的膠態二氧化矽充當研磨料;Brij ® L23(Sigma-Aldrich®)(乙氧基化物型表面活性劑)、矽酸鉀、聚丙烯酸銨及咪唑。剩下部分為水。氫氧化鉀及硝酸係用作pH調節劑。列於表1的組合物的pH值係為pH 9.0。
該等組合物係列於表1。舉例來說,組合物3包含0.25%二氧化鈰塗佈的膠態二氧化矽充當研磨料、0.01% Brij L23充當表面潤濕劑、0.25%矽酸鉀充當螯合劑、0.1%聚丙烯酸銨充當分散劑及0.1%咪唑充當腐蝕抑制劑。
Figure TWI615450BD00001
TEOS、Co及SiN膜的移除速率係列於表2。
如表2所示,運用二氧化鈰塗佈的膠態二氧化矽粒子之Co阻絕物組合物得到類似的TEOS及Co移除速率,但是極低的SiN移除速率。
Figure TWI615450BD00002
關於該3組合物的TEOS:Co及SiN膜的移除選擇性係由表1的數據算出。結果係列於表3。
如表3所示,組合物得到大約1:1的TEOS:Co移除選擇性及低於2.5:1的TEOS:SiN移除選擇性及Co:SiN移除選擇性。
Figure TWI615450BD00003
那些移除選擇性範圍顯示出使該等CMP Co阻絕物組合物能達成膜高度修正的能力並且實現橫越整個圖案化晶圓表面的全面均勻平坦化。
SER係利用這些Co阻絕物CMP拋光組合物在PVD Co晶片上測量。結果顯示出該等組合物提供在鈷膜表面 上極低(<2.0Å/min.)的靜態蝕刻速率(SER)。
實施例2
在下列實施例4、5及6中,使用了三不同腐蝕抑制劑、咪唑、1,2,4-三唑及3-胺基-1,2,4-三唑。所有其他化學組成成分維持相同。所有三樣品的pH皆為9.0。
實施例4、5及6的組合物係列於表4。
Figure TWI615450BD00004
TEOS、Co、SiN及W膜的移除速率係列於表5。
Figure TWI615450BD00005
如顯示於表5的結果,關於三不同組合物所用的所有三不同腐蝕抑制劑獲得類似的TEOS及SiN移除速率。當與組合物4所用的腐蝕抑制劑為0.05%相比時,組合物5及6由於腐蝕抑制劑濃度提高至0.1%而獲得相對較低的Co移除速率。
重要的是,所有三拋光組合物完全都顯示一拋光到鎢膜便停止。
該TEOS:Co:SiN選擇性係列於表6。結果指示所有三膜,TEOS、Co及SiN,對比於在拋光的鎢膜皆具有極高選擇性。
Figure TWI615450BD00006
包含該等複合粒子充當本發明的研磨料之拋光組合物顯示出停在鎢膜但是仍能提供高TEOS及Co移除速率的能力。該等拋光組合物顯示出於某些先進Co阻絕物CMP拋光應用中的效用,其中需要停在鎢膜上的能力,同時仍能保持TEOS及Co移除速率。
實施例3
組合物7係根據表7製備。該組合物具有pH=7.0
Figure TWI615450BD00007
使用組合物7在不同膜上的拋光移除速率係列於表8。
如表8所示,獲得了高TEOS及Co移除速率。重要的是,也顯示出關於拋光a-Si、SiOC膜及BD2X的優良停止性質。
Figure TWI615450BD00008
TEOS:Co:Si:a-Si:LK膜的選擇性係列於表9。
Figure TWI615450BD00009
TEOS:a-Si、Co:a-Si、TEOS:LK、Co:LK、 TEOS:BD2X及Co:BD2X的高選擇性係利用該等拋光組合物達成。
比較實施例2所獲得的數據,在實施例3中該SiN移除速率受到使用較低pH的組合物而被抑制。結果顯示出具有7.5或更低pH的組合物可能能得到介於膜例如矽氧化物或互連件金屬或阻絕物/襯墊膜與矽氮化物膜之間的高移除速率選擇性。該等組合物顯示對某些Co拋光應用的效用,其不僅必需停在鎢膜上,而且還必須停在非晶矽(a-Si)及氧碳化矽(SiOC)膜(估計介電常數>4)上。
實施例4
使用二氧化鈰塗佈的二氧化矽(組合物9)充當研磨料或使用膠態二氧化矽研磨料(比較性組合物8)的鈷拋光組合物實例係列於表10。膠態二氧化矽(PL-3)係自日本的Fuso有限公司購得。由動態光散射分析測得的PL-3膠態二氧化矽粒徑係70nm。
使用該組合物8及組合物9的拋光結果分別被列於表11。
Figure TWI615450BD00010
Figure TWI615450BD00011
介於包含二氧化鈰塗佈的二氧化矽粒子的組合物(組合物9)及包含膠態二氧化矽的比較性組合物(組合物8)之間的拋光性能比較可由表11提出。
藉著二氧化鈰塗佈的膠態二氧化矽充當研磨料(組合物9)的應用,達成了停在鎢膜上的希望Co阻絕物CMP拋光性能(鎢膜移除速率係2Å/min.)。對於使用以膠態二氧化 矽研磨料為基礎的鈷阻絕物拋光組合物(組合物8),鎢移除速率更高超過25倍(>50Å/min.),即使沒使用過氧化氫充當氧化劑亦同。這樣的高移除速率防止在拋光圖案化晶圓的期間停在鎢層處的能力。
除此之外,膠態二氧化矽組合物8也提供比組合物9明顯更低的鈷移除速率,其即使具有更低許多的研磨料濃度亦同。組合物9也提供比實施例8更高許多的氧化物移除速率。
關於實施例8和9的TEOS:Co:SiN:W選擇性係列於表12。
Figure TWI615450BD00012
如表12所示的TEOS:Co:SiN:W移除選擇性數據,藉著用於拋光組合物9中的二氧化鈰塗佈的二氧化矽充當研磨料,達成了比藉著拋光組合物8中的膠態二氧化矽充當研磨料所獲的選擇性更高許多的選擇性。
高移除速率及移除選擇性僅能藉著本發明的組合物達成。
實施例5
表13列示僅包含二氧化鈰塗佈的二氧化矽粒子的組合物(組合物10)、僅包含膠態二氧化矽粒子的組合物(組 合物11)及包含二氧化鈰塗佈的二氧化矽粒子及膠態二氧化矽粒子二者,也就是說,二氧化鈰塗佈的二氧化矽粒子與膠態二氧化矽粒子組合的組合物(組合物12)。
圖1顯示藉著這些組合物在不同膜上的移除速率。圖1顯示對於許多膜類型例如Cu、SiN、TEOS、Co、TiN、Ta及TaN,藉由混合該二不同類型的研磨料獲得了移除速率的顯著推升。同時,對於希望低移除速率的某些膜類型例如BD1及W,二氧化鈰塗佈的二氧化矽粒子與膠態二氧化矽粒子的組合與本身含有膠態二氧化矽的組合物相比並不會使移除速率提高。
Figure TWI615450BD00013
使用二氧化鈰塗佈的二氧化矽粒子與膠態二氧化矽粒子組合的組合物造成不同膜的移除速率的意外協同提高作用。
實施例6
製備四組合物12、13、14及15。組合物13、14及15係以表13所示的組合物12為基礎藉由僅將過氧化氫的濃度從0.01重量%(組合物12)分別變更成0.1重量%(組合物13)、0.25重量%(組合物14)及0.5重量%(組合物15)製備而成。在過氧化氫添加之前所有四樣品的pH皆為10。
不同膜的移除速率係顯示於圖2。
如圖2所示,藉由調整該組合物12中的過氧化氫,某些金屬膜例如Ti、TiN、Ta及TaN的移除速率便能被提高同時維持對TEOS及SiN介電膜的高移除速率。藉由提高過氧化氫濃度,使鈷表面鈍化而造成較低拋光速率。
前述實施例及具體實施例的敘述理應視為舉例說明,而非限制由申請專利範圍來界定的發明。咸能輕易明白,上述特徵的許多變化及組合皆能加以利用而不會悖離申請專利範圍所描述的發明。吾人意欲將這樣的變化包括在下列申請專利範圍的範疇以內。

Claims (24)

  1. 一種拋光組合物,其包含:0.01重量%至20重量%的研磨料,其包含複合粒子及研磨粒子,該複合粒子係包含表面覆蓋著奈米粒子的核心粒子;及該研磨粒子係選自由二氧化矽、三氧化二鋁、二氧化鋯、二氧化鈦、二氧化鈰、經表面修飾的無機氧化物粒子及其組合所組成的群組之研磨粒子;0.0001重量%至5重量%的pH-調節劑;0.0005重量%至0.5重量%的腐蝕抑制劑;及剩下部分的水;其中該核心粒子係選自由二氧化矽、三氧化二鋁、二氧化鈦、二氧化鋯、聚合物粒子及其組合所組成的群組;而且該奈米粒子係選自由鋯、鈦、鐵、錳、鋅、鈰、釔、鈣、鎂、鑭、鍶奈米粒子及其組合所組成的群組;而且該拋光組合物具有約2至11的pH。
  2. 如申請專利範圍第1項之拋光組合物,其另外包含以下中之至少其一:(1)0.0010重量%至約1.0重量%的分散添加物,其係選自由有機酸或其鹽;聚合性酸或其鹽;水溶性共聚物或其鹽;同一共聚物分子中含有至少二酸基的共聚物或其鹽,該至少二酸基係選自由羧酸基、磺酸基及膦酸基所 組成的群組;聚乙烯酸或其鹽、聚環氧乙烷;聚環氧丙烷;及其組合所組成的群組;(2)0.001重量%至5重量%的氧化劑,其係選自由過氧化氫、過碘酸、過碘酸鹽、過溴酸、過溴酸鹽、過氯酸、過氯酸鹽、過硼酸及過硼酸鹽、高錳酸鹽、溴酸鹽、氯酸鹽、鉻酸鹽、碘酸鹽、碘酸及其組合所組成的群組;(3)0.001重量%至5重量%的螯合劑,其係選自由以下所組成的群組:有機酸或其鹽;聚合性酸或其鹽;水溶性共聚物或其鹽;同一共聚物分子中含有至少二酸基的共聚物或其鹽,該至少二酸基係選自由羧酸基、磺酸基、膦酸及吡啶酸所組成的群組;聚乙烯酸或其鹽;無機矽酸鉀;矽酸銨;聚環氧乙烷;聚環氧丙烷;吡啶或其衍生物;聯吡啶或其衍生物;及其組合;及(4)0.0001重量%至10重量%的表面活性劑,其係選自以下所組成的群組:a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其組合。
  3. 如申請專利範圍第1項之拋光組合物,其中該等核心粒子係二氧化矽粒子;該等奈米粒子係二氧化鈰奈米粒子;而且該等複合粒子係表面覆蓋著單晶形二氧化鈰奈米粒子的非晶形二氧化矽粒子;該等研磨粒子係二氧化矽粒子;該pH-調節劑係選自由氫氧化鈉、氫氧化鉀、氫氧化銨、 氫氧化四級有機銨、硝酸、磺酸、磷酸、氯化氫及其組合所組成的群組;而且該腐蝕抑制劑係選自由苯并三唑(BTA)、咪唑、三唑、吡唑、苯并咪唑、四唑、其相關衍生物及其組合所組成的群組。
  4. 如申請專利範圍第1項之拋光組合物,其包含二氧化鈰塗佈的二氧化矽複合粒子;腐蝕抑制劑,其係選自由苯并三唑、咪唑、三唑及其組合所組成的群組;氫氧化銨或氫氧化鉀。
  5. 如申請專利範圍第4項之拋光組合物,其另外包含以下中之至少其一:(1)分散添加物,其係選自由聚合性酸或其鹽及其組合所組成的群組;其中該聚合性酸係選自由聚丙烯酸、聚甲基丙烯酸、聚苯乙烯磺酸或其鹽及其組合所組成的群組;(2)矽酸鉀或矽酸銨;(3)選自由炔二醇表面活性劑、醇乙氧基化物(alcohol ethoxylate)表面活性劑及其組合所組成的群組之表面活性劑;及(4)二氧化矽研磨粒子。
  6. 如申請專利範圍第1項之拋光組合物,其包含二氧化鈰塗佈的二氧化矽複合粒子;腐蝕抑制劑,其係選自由苯并三唑、咪唑、三唑及其組合所組成的群組;氫氧化銨或氫氧化鉀;而且另外包含二氧化矽研磨粒子;矽酸鉀 或矽酸銨;及選自由炔二醇表面活性劑、醇乙氧基化物表面活性劑及其組合所組成的群組之表面活性劑。
  7. 如申請專利範圍第1項之拋光組合物,其包含二氧化鈰塗佈的二氧化矽複合粒子;腐蝕抑制劑,其係選自由苯并三唑、咪唑、三唑及其組合所組成的群組;氫氧化銨或氫氧化鉀;而且另外包含二氧化矽研磨粒子;過氧化氫;矽酸鉀或矽酸銨;及選自由炔二醇表面活性劑、醇乙氧基化物表面活性劑及其組合所組成的群組之表面活性劑。
  8. 一種用於半導體裝置的化學機械平坦化之拋光方法,該半導體裝置包含具有第一材料及第二材料的至少一表面,該第一材料係選自由金屬或合金形式的Co、Cu及其組合所組成的群組,及該第二材料係不同於該第一材料且選自由低-k介電材料、Cu、SiN、SiO2、Ti、TiN、Ta、TaN、W及其組合所組成的群組,該方法包含以下步驟:A)使該至少一表面與拋光墊接觸;B)將拋光組合物送至該至少一表面,該拋光組合物包含:0.01重量%至20重量%的研磨料,其係選自由包含表面覆蓋著奈米粒子的核心粒子之複合粒子;選自由二氧化矽、三氧化二鋁、二氧化鋯、二氧化鈦、二氧化鈰、 經表面修飾的無機氧化物粒子及其組合所組成的群組之研磨粒子;及其組合所組成的群組;0.0001重量%至5重量%的pH-調節劑;0.0005重量%至0.5重量%的腐蝕抑制劑;及剩下部分的水;其中該等核心粒子係選自由二氧化矽、三氧化二鋁、二氧化鈦、二氧化鋯、聚合物粒子及其組合所組成的群組;而且該等奈米粒子係選自由鋯、鈦、鐵、錳、鋅、鈰、釔、鈣、鎂、鑭、鍶奈米粒子及其組合所組成的群組;及該拋光組合物具有約2至11的pH;及C)以該拋光組合物來拋光該至少一表面。
  9. 如申請專利範圍第8項之拋光方法,其中該拋光組合物另外包含以下中之至少其一:(1)0.0010重量%至約1.0重量%的分散添加物,其係選自由有機酸或其鹽;聚合性酸或其鹽;水溶性共聚物或其鹽;同一共聚物分子中含有至少二酸基的共聚物或其鹽,該至少二酸基係選自由羧酸基、磺酸基及膦酸基所組成的群組;聚乙烯酸或其鹽、聚環氧乙烷;聚環氧丙烷;及其組合所組成的群組;(2)0.001重量%至5重量%的氧化劑,其係選自由過氧化氫、過碘酸、過碘酸鹽、過溴酸、過溴酸鹽、過氯酸、 過氯酸鹽、過硼酸及過硼酸鹽、高錳酸鹽、溴酸鹽、氯酸鹽、鉻酸鹽、碘酸鹽、碘酸及其組合所組成的群組;(3)0.001重量%至5重量%的螯合劑,其係選自由以下所組成的群組:有機酸或其鹽;聚合性酸或其鹽;水溶性共聚物或其鹽;同一共聚物分子中含有至少二酸基的共聚物或其鹽,該至少二酸基係選自由羧酸基、磺酸基、膦酸及吡啶酸所組成的群組;聚乙烯酸或其鹽;無機矽酸鉀;矽酸銨;聚環氧乙烷;聚環氧丙烷;吡啶或其衍生物;聯吡啶或其衍生物;及其組合;及(4)0.0001重量%至10重量%的表面活性劑,其係選自以下所組成的群組:a).非離子型表面潤濕劑;b).陰離子型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其組合。
  10. 如申請專利範圍第8項之拋光方法,其中該等核心粒子係二氧化矽粒子;該等奈米粒子係二氧化鈰奈米粒子;而且該等複合粒子係表面覆蓋著單晶形二氧化鈰奈米粒子的非晶形二氧化矽粒子;該等研磨粒子係二氧化矽粒子;該pH-調節劑係選自由氫氧化鈉、氫氧化鉀、氫氧化銨、氫氧化四級有機銨硝酸、磺酸、磷酸、氯化氫及其組合所組成的群組;而且該腐蝕抑制劑係選自由苯并三唑(BTA)、咪唑、三唑、吡唑、苯并咪唑、四唑、其相關衍生物及其組合所組成的 群組。
  11. 如申請專利範圍第8項之拋光方法,其中該拋光組合物包含二氧化鈰塗佈的二氧化矽複合粒子;腐蝕抑制劑,其係選自由苯并三唑、咪唑、三唑及其組合所組成的群組;氫氧化銨或氫氧化鉀。
  12. 如申請專利範圍第11項之拋光方法,其中該拋光組合物另外包含以下中之至少其一:(1)分散添加物,其係選自由聚合性酸或其鹽及其組合所組成的群組;其中該聚合性酸係選自由聚丙烯酸、聚甲基丙烯酸、聚苯乙烯磺酸或其鹽及其組合所組成的群組;(2)矽酸鉀或矽酸銨;及(3)選自由炔二醇表面活性劑、醇乙氧基化物表面活性劑及其組合所組成的群組之表面活性劑。
  13. 如申請專利範圍第8項之拋光方法,其中該拋光組合物包含二氧化鈰塗佈的二氧化矽複合粒子;腐蝕抑制劑,其係選自由苯并三唑、咪唑、三唑及其組合所組成的群組;氫氧化銨或氫氧化鉀;而且另外包含以下中之至少其一:二氧化矽研磨粒子;矽酸鉀或矽酸銨;及選自由炔二醇表面活性劑、醇乙氧基化物表面活性劑及其組合所組成的群組之表面活性劑。
  14. 如申請專利範圍第8項之拋光方法,其中該拋光組合物 包含二氧化鈰塗佈的二氧化矽複合粒子;腐蝕抑制劑,其係選自由苯并三唑、咪唑、三唑及其組合所組成的群組;氫氧化銨或氫氧化鉀;而且另外包含二氧化矽研磨粒子;過氧化氫;矽酸鉀或矽酸銨;及選自由炔二醇表面活性劑、醇乙氧基化物表面活性劑及其組合所組成的群組之表面活性劑。
  15. 如申請專利範圍第8項之拋光方法,其中該等核心粒子係二氧化矽粒子;該等奈米粒子係二氧化鈰奈米粒子;而且該等複合粒子係表面覆蓋著單晶形二氧化鈰奈米粒子的非晶形二氧化矽粒子;該等研磨粒子係二氧化矽粒子;該拋光墊係軟墊;該第一材料係Co;該第二材料係選自由Cu、SiN、SiO2、W及其組合所組成的群組;該拋光組合物具有8.0至11的pH;該拋光組合物具有就SiO2:Co而言1.0:4.0至4.0:1.0的移除速率選擇性;而且就Co:SiN而言1.0:1.0至6.0:1.0;而且其中該拋光組合物一拋光到鎢便停止。
  16. 如申請專利範圍第8項之拋光方法,其中該等核心粒子係二氧化矽粒子;該等奈米粒子係二氧化 鈰奈米粒子;而且該等複合粒子係表面覆蓋著單晶形二氧化鈰奈米粒子的非晶形二氧化矽粒子;該等研磨粒子係二氧化矽粒子;該拋光墊係軟墊;該第二材料係選自由Cu、SiN、SiO2及其組合所組成的群組;該拋光組合物具有5.0至8.0的pH;而且該拋光組合物具有就SiO2:Co而言1.0:4.0至4.0:1.0的移除速率選擇性;而且就Co:SiN而言10:1至15:1.0。
  17. 如申請專利範圍第8項之拋光方法,其中該等核心粒子係二氧化矽粒子;該等奈米粒子係二氧化鈰奈米粒子;而且該等複合粒子係表面覆蓋著單晶形二氧化鈰奈米粒子的非晶形二氧化矽粒子;該等研磨粒子係二氧化矽粒子;該拋光墊係軟墊;該第一材料係Co;該第二材料係選自由Cu、SiN、SiO2、a-Si、有機矽酸鹽玻璃(OSG)、氧碳化矽(SiOC)、W及其組合所組成的群組;該拋光組合物具有5.0至9.0的pH;而且該拋光組合物具有就SiO2:Co而言1.0:4.0至4.0:1.0的移除速率選擇性;而且就Co:SiN而言10:1至15:1.0;而且 其中該拋光組合物一拋光到a-Si、OSG、SiOC及W便停止。
  18. 一種用於化學機械平坦化之系統,其包含:一半導體裝置,其包含具有第一材料及第二材料的至少一表面,該第一材料係選自由金屬或合金形式的Co、Cu、Al及其組合所組成的群組;一拋光墊;及一拋光組合物,其包含:0.01重量%至20重量%的研磨料,其包含複合粒子及研磨粒子,該複合粒子包含表面覆蓋著奈米粒子的核心粒子;該研磨粒子選自由二氧化矽、三氧化二鋁、二氧化鋯、二氧化鈦、二氧化鈰、經表面修飾的無機氧化物粒子及其組合所組成的群組;0.0001重量%至5重量%的pH-調節劑;0.0005重量%至0.5重量%的腐蝕抑制劑;及剩下部分的水;其中該等核心粒子係選自由二氧化矽、三氧化二鋁、二氧化鈦、二氧化鋯、聚合物粒子及其組合所組成的群組;而且該等奈米粒子係選自由鋯、鈦、鐵、錳、鋅、鈰、釔、鈣、鎂、鑭、鍶奈米粒子及其組合所組成的群組;而且該拋光組合物具有約2至11的pH; 而且其中該至少一表面與該拋光墊及該拋光組合物接觸。
  19. 如申請專利範圍第18項之系統,其中該拋光組合物另外包含以下中之至少其一:(1)0.0010重量%至約1.0重量%的分散添加物,其係選自由有機酸或其鹽;聚合性酸或其鹽;水溶性共聚物或其鹽;同一共聚物分子中含有至少二酸基的共聚物或其鹽,該至少二酸基係選自由羧酸基、磺酸基及膦酸基所組成的群組;聚乙烯酸或其鹽、聚環氧乙烷;聚環氧丙烷;及其組合所組成的群組;(2)0.001重量%至5重量%的氧化劑,其係選自由過氧化氫、過碘酸、過碘酸鹽、過溴酸、過溴酸鹽、過氯酸、過氯酸鹽、過硼酸及過硼酸鹽、高錳酸鹽、溴酸鹽、氯酸鹽、鉻酸鹽、碘酸鹽、碘酸及其組合所組成的群組;(3)0.001重量%至5重量%的螯合劑,其係選自由以下所組成的群組:有機酸或其鹽;聚合性酸或其鹽;水溶性共聚物或其鹽;同一共聚物分子中含有至少二酸基的共聚物或其鹽,該至少二酸基係選自由羧酸基、磺酸基、膦酸及吡啶酸所組成的群組;聚乙烯酸或其鹽;無機矽酸鉀;矽酸銨;聚環氧乙烷;聚環氧丙烷;吡啶或其衍生物;聯吡啶或其衍生物;及其組合;及(4)0.0001重量%至10重量%的表面活性劑,其係選自以下所組成的群組:a).非離子型表面潤濕劑;b).陰離子 型表面潤濕劑;c).陽離子型表面潤濕劑;d).兩性表面潤濕劑;及其組合。
  20. 如申請專利範圍第18項之系統,其中該等核心粒子係二氧化矽粒子;該等奈米粒子係二氧化鈰奈米粒子;而且該等複合粒子係表面覆蓋著單晶形二氧化鈰奈米粒子的非晶形二氧化矽粒子;該等研磨粒子係二氧化矽粒子;該拋光墊係軟墊;該pH-調節劑係選自由氫氧化鈉、氫氧化鉀、氫氧化銨、氫氧化四級有機銨、硝酸、磺酸、磷酸、氯化氫及其組合所組成的群組;而且該腐蝕抑制劑係選自由苯并三唑(BTA)、咪唑、三唑、吡唑、苯并咪唑、四唑、其相關衍生物及其組合所組成的群組。
  21. 如申請專利範圍第18項之系統,其中該拋光組合物包含二氧化鈰塗佈的二氧化矽複合粒子;選自由苯并三唑、咪唑、三唑及其組合所組成的群組之腐蝕抑制劑;氫氧化銨或氫氧化鉀。
  22. 如申請專利範圍第21項之系統,其中該拋光組合物另外包含以下中之至少其一:(1)分散添加物,其係選自由聚合性酸或其鹽及其組合所組成的群組;其中該聚合 性酸係選自由聚丙烯酸、聚甲基丙烯酸、聚苯乙烯磺酸或其鹽及其組合所組成的群組;(2)矽酸鉀或矽酸銨;(3)矽酸鉀或矽酸銨;及(4)選自由炔二醇表面活性劑、醇乙氧基化物表面活性劑及其組合所組成的群組之表面活性劑。
  23. 如申請專利範圍第18項之系統,其中該拋光組合物包含二氧化鈰塗佈的二氧化矽複合粒子;腐蝕抑制劑,其係選自由苯并三唑、咪唑、三唑及其組合所組成的群組;氫氧化銨或氫氧化鉀;而且另外包含選自由二氧化矽研磨粒子;矽酸鉀或矽酸銨;及表面活性劑所組成的群組中之至少其一,該表面活性劑係選自由炔二醇表面活性劑、醇乙氧基化物表面活性劑及其組合所組成的群組。
  24. 如申請專利範圍第18項之系統,其中該拋光組合物包含二氧化鈰塗佈的二氧化矽複合粒子;腐蝕抑制劑,其係選自由苯并三唑、咪唑、三唑及其組合所組成的群組;氫氧化銨或氫氧化鉀;而且另外包含二氧化矽研磨粒子;過氧化氫;矽酸鉀或矽酸銨;及選自由炔二醇表面活性劑、醇乙氧基化物表面活性劑及其組合所組成的群組之表面活性劑。
TW105117471A 2015-06-05 2016-06-02 使用二氧化鈰塗佈的二氧化矽硏磨料之阻絕物化學機械平坦化漿料 TWI615450B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562171360P 2015-06-05 2015-06-05
US62/171,360 2015-06-05
US15/166,605 2016-05-27
US15/166,605 US10032644B2 (en) 2015-06-05 2016-05-27 Barrier chemical mechanical planarization slurries using ceria-coated silica abrasives

Publications (2)

Publication Number Publication Date
TW201708452A TW201708452A (zh) 2017-03-01
TWI615450B true TWI615450B (zh) 2018-02-21

Family

ID=56263491

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105117471A TWI615450B (zh) 2015-06-05 2016-06-02 使用二氧化鈰塗佈的二氧化矽硏磨料之阻絕物化學機械平坦化漿料

Country Status (8)

Country Link
US (1) US10032644B2 (zh)
EP (1) EP3101076B1 (zh)
JP (1) JP6480381B2 (zh)
KR (2) KR101913228B1 (zh)
CN (1) CN106244021B (zh)
IL (1) IL246004B (zh)
SG (1) SG10201604531VA (zh)
TW (1) TWI615450B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI754376B (zh) * 2019-09-11 2022-02-01 美商羅門哈斯電子材料Cmp控股公司 選擇性化學機械拋光鈷、氧化鋯、多晶矽及二氧化矽膜之方法

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6560155B2 (ja) * 2016-04-20 2019-08-14 信越化学工業株式会社 合成石英ガラス基板用研磨剤及び合成石英ガラス基板の研磨方法
CN109392311B (zh) * 2016-06-08 2023-08-15 三井金属矿业株式会社 研磨液和研磨物的制造方法
US10253216B2 (en) * 2016-07-01 2019-04-09 Versum Materials Us, Llc Additives for barrier chemical mechanical planarization
CN110168702B (zh) * 2016-12-26 2023-12-29 福吉米株式会社 研磨用组合物及研磨方法
US10711159B2 (en) * 2016-12-30 2020-07-14 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions
US10294399B2 (en) * 2017-01-05 2019-05-21 Cabot Microelectronics Corporation Composition and method for polishing silicon carbide
KR102626655B1 (ko) * 2017-02-08 2024-01-17 제이에스알 가부시끼가이샤 반도체 처리용 조성물 및 처리 방법
CN110312776B (zh) * 2017-02-17 2021-11-30 福吉米株式会社 研磨用组合物、其制造方法和使用研磨用组合物的研磨方法
US20180244955A1 (en) 2017-02-28 2018-08-30 Versum Materials Us, Llc Chemical Mechanical Planarization of Films Comprising Elemental Silicon
WO2018168206A1 (ja) 2017-03-14 2018-09-20 株式会社フジミインコーポレーテッド 研磨用組成物、その製造方法ならびにこれを用いた研磨方法および基板の製造方法
CN107129762A (zh) * 2017-05-12 2017-09-05 江南大学 一种碳化硅化学机械抛光用的抛光液及其制备方法
US11267715B2 (en) * 2017-06-01 2022-03-08 Jgc Catalysts And Chemicals Ltd. Ceria-based composite fine particle dispersion, production method therefor, and polishing abrasive grain dispersion including ceria-based composite fine particle dispersion
CN110603307B (zh) * 2017-06-01 2020-11-06 日挥触媒化成株式会社 含纳米气泡的无机氧化物微粒及包含其的研磨剂
US11043151B2 (en) * 2017-10-03 2021-06-22 Cmc Materials, Inc. Surface treated abrasive particles for tungsten buff applications
US20190127607A1 (en) * 2017-10-27 2019-05-02 Versum Materials Us, Llc Composite Particles, Method of Refining and Use Thereof
US11161751B2 (en) 2017-11-15 2021-11-02 Saint-Gobain Ceramics & Plastics, Inc. Composition for conducting material removal operations and method for forming same
US20190352535A1 (en) * 2018-05-21 2019-11-21 Versum Materials Us, Llc Chemical Mechanical Polishing Tungsten Buffing Slurries
CN108774467A (zh) * 2018-06-29 2018-11-09 东莞市硕丰研磨科技有限公司 玻璃抛光用组合物
US11718767B2 (en) * 2018-08-09 2023-08-08 Versum Materials Us, Llc Chemical mechanical planarization composition for polishing oxide materials and method of use thereof
US20200095502A1 (en) * 2018-09-26 2020-03-26 Versum Materials Us, Llc High Oxide VS Nitride Selectivity, Low And Uniform Oxide Trench Dishing In Shallow Trench Isolation(STI) Chemical Mechanical Planarization Polishing(CMP)
US10727076B2 (en) * 2018-10-25 2020-07-28 Taiwan Semiconductor Manufacturing Company Ltd. Slurry and manufacturing semiconductor using the slurry
US11180678B2 (en) * 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
US11608451B2 (en) * 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
CN114450366A (zh) * 2019-09-24 2022-05-06 弗萨姆材料美国有限责任公司 平面化中的芯片内不均匀性(wid-nu)
US20210087431A1 (en) * 2019-09-24 2021-03-25 Fujifilm Electronic Materials U.S.A., Inc. Polishing compositions and methods of use thereof
US11495471B2 (en) * 2019-09-26 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Slurry compositions for chemical mechanical planarization
TWI767355B (zh) * 2019-10-24 2022-06-11 美商慧盛材料美國責任有限公司 高氧化物移除速率的淺溝隔離化學機械平坦化組合物、系統及方法
CN111015432B (zh) * 2019-11-26 2022-04-01 天津津航技术物理研究所 提高Ge-As-Se硫系玻璃光学表面质量的化学机械加工方法
US20230020073A1 (en) * 2019-12-04 2023-01-19 Versum Materials Us, Llc High Oxide Film Removal Rate Shallow Trench (STI) Chemical Mechanical Planarization (CMP) Polishing
JP2023506487A (ja) * 2019-12-12 2023-02-16 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 低酸化物トレンチディッシングシャロートレンチアイソレーション化学的機械平坦化研磨
US11254839B2 (en) * 2019-12-12 2022-02-22 Versum Materials Us, Llc Low oxide trench dishing shallow trench isolation chemical mechanical planarization polishing
CN113122146B (zh) * 2019-12-31 2024-04-12 安集微电子(上海)有限公司 一种化学机械抛光液及其使用方法
CN113122147B (zh) * 2019-12-31 2024-03-12 安集微电子科技(上海)股份有限公司 一种化学机械抛光液及其使用方法
EP4204505A4 (en) * 2020-08-28 2023-12-06 FUJIFILM Electronic Materials U.S.A, Inc. POLISHING COMPOSITIONS AND METHODS OF USE THEREOF
EP4214286A1 (en) * 2020-09-18 2023-07-26 CMC Materials, Inc. Silica-based slurry for selective polishing of carbon-based films
CN114507478B (zh) * 2022-02-24 2023-05-09 北京通美晶体技术股份有限公司 一种砷化镓晶片加工用抛光液及其制备方法
KR20240061362A (ko) * 2022-10-31 2024-05-08 주식회사 케이씨텍 연마 슬러리 조성물
CN115851137A (zh) * 2022-12-20 2023-03-28 青岛福禄泰科表面材料科技有限公司 一种用于半导体材料的抛光液及其制备方法
CN116063930A (zh) * 2023-03-29 2023-05-05 国科大杭州高等研究院 一种半导体硅片抛光用的纳米硅铈复合抛光液的制备方法
CN116656244A (zh) * 2023-07-20 2023-08-29 包头天骄清美稀土抛光粉有限公司 用于鳍式场效应晶体管的化学机械抛光组合物及制备方法
CN117467410B (zh) * 2023-12-27 2024-04-23 甬江实验室 核壳结构的复合磨粒及其制备方法和cmp浆料
CN117487515B (zh) * 2023-12-27 2024-05-03 甬江实验室 具有催化活性的复合抛光磨粒及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201043686A (en) * 2009-06-05 2010-12-16 Basf Se Raspberry-type metal oxide nanostructures coated with CeO2 nanoparticles for chemical mechanical planarization (CMP)
TW201500493A (zh) * 2013-06-27 2015-01-01 Air Prod & Chem 化學機械硏磨漿組合物及使用其於銅及穿矽通孔應用的方法

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6024108A (ja) 1983-07-18 1985-02-06 株式会社クボタ 自動走行作業車
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
WO2001060940A1 (en) 2000-02-16 2001-08-23 Rodel Inc Biocides for polishing slurries
US7513920B2 (en) 2002-02-11 2009-04-07 Dupont Air Products Nanomaterials Llc Free radical-forming activator attached to solid and used to enhance CMP formulations
KR100504608B1 (ko) * 2002-12-30 2005-08-01 제일모직주식회사 구리배선 연마용 슬러리 조성물
US7071105B2 (en) * 2003-02-03 2006-07-04 Cabot Microelectronics Corporation Method of polishing a silicon-containing dielectric
TW200743666A (en) * 2006-05-19 2007-12-01 Hitachi Chemical Co Ltd Chemical mechanical polishing slurry, CMP process and electronic device process
JP5178121B2 (ja) * 2007-09-28 2013-04-10 富士フイルム株式会社 研磨液及び研磨方法
CN101591509B (zh) 2008-05-30 2013-05-01 安集微电子(上海)有限公司 一种用于金属化学机械抛光的抛光浆料及其用途
JP6196155B2 (ja) 2010-09-08 2017-09-13 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se 水性研磨剤組成物、並びに電気、機械及び光学デバイス用の基板材料を研磨する方法
CN102559061A (zh) 2010-12-28 2012-07-11 安集微电子(上海)有限公司 含有机酸的硅和铜化学机械平坦化浆料
US9447306B2 (en) 2011-01-25 2016-09-20 Hitachi Chemical Company, Ltd. CMP polishing fluid, method for manufacturing same, method for manufacturing composite particle, and method for polishing base material
CN102304327A (zh) * 2011-07-05 2012-01-04 复旦大学 一种基于金属Co的抛光工艺的抛光液
JP5787745B2 (ja) 2011-12-26 2015-09-30 日揮触媒化成株式会社 シリカ系複合粒子の製造方法
CN103666372A (zh) 2012-09-11 2014-03-26 上海华明高技术(集团)有限公司 氧化硅为内核的氧化铈复合磨料及其制备方法
US20140273458A1 (en) 2013-03-12 2014-09-18 Air Products And Chemicals, Inc. Chemical Mechanical Planarization for Tungsten-Containing Substrates
US20150104940A1 (en) 2013-10-11 2015-04-16 Air Products And Chemicals Inc. Barrier chemical mechanical planarization composition and method thereof
JP2015169967A (ja) 2014-03-04 2015-09-28 株式会社リコー 情報処理システム、情報処理方法およびプログラム
JP6283939B2 (ja) 2014-03-25 2018-02-28 株式会社富士通ゼネラル 天井埋込型空気調和機
JP6371193B2 (ja) 2014-10-22 2018-08-08 日揮触媒化成株式会社 シリカ系複合粒子分散液の製造方法
CN104449398B (zh) * 2014-11-25 2017-06-23 河北工业大学 一种适用于钴阻挡层的碱性化学机械抛光液
CN104830235B (zh) * 2015-04-29 2017-06-23 清华大学 用于钴阻挡层结构化学机械抛光的抛光液及其应用

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201043686A (en) * 2009-06-05 2010-12-16 Basf Se Raspberry-type metal oxide nanostructures coated with CeO2 nanoparticles for chemical mechanical planarization (CMP)
TW201500493A (zh) * 2013-06-27 2015-01-01 Air Prod & Chem 化學機械硏磨漿組合物及使用其於銅及穿矽通孔應用的方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI754376B (zh) * 2019-09-11 2022-02-01 美商羅門哈斯電子材料Cmp控股公司 選擇性化學機械拋光鈷、氧化鋯、多晶矽及二氧化矽膜之方法

Also Published As

Publication number Publication date
IL246004A0 (en) 2016-08-31
IL246004B (en) 2021-08-31
KR20180118580A (ko) 2018-10-31
JP2017071753A (ja) 2017-04-13
EP3101076A1 (en) 2016-12-07
CN106244021B (zh) 2020-11-03
JP6480381B2 (ja) 2019-03-06
US10032644B2 (en) 2018-07-24
KR102422713B1 (ko) 2022-07-18
KR20160143569A (ko) 2016-12-14
KR101913228B1 (ko) 2018-10-31
CN106244021A (zh) 2016-12-21
TW201708452A (zh) 2017-03-01
US20160358790A1 (en) 2016-12-08
SG10201604531VA (en) 2017-01-27
EP3101076B1 (en) 2020-04-15

Similar Documents

Publication Publication Date Title
TWI615450B (zh) 使用二氧化鈰塗佈的二氧化矽硏磨料之阻絕物化學機械平坦化漿料
CN106566412B (zh) 含硅层停止型添加剂
KR100681216B1 (ko) 연마용 조성물
US6533832B2 (en) Chemical mechanical polishing slurry and method for using same
EP1098948B1 (en) Chemical mechanical polishing slurry useful for copper/tantalum substrate
TWI654288B (zh) 用於化學機械平坦化組合物之複合硏磨粒及其使用方法
US6217416B1 (en) Chemical mechanical polishing slurry useful for copper/tantalum substrates
US7785487B2 (en) Polymeric barrier removal polishing slurry
TWI478227B (zh) 用於基板之化學機械研磨之方法
US7316977B2 (en) Chemical-mechanical planarization composition having ketooxime compounds and associated method for use
US7678702B2 (en) CMP composition of boron surface-modified abrasive and nitro-substituted sulfonic acid and method of use
US20100164106A1 (en) CMP Slurry Composition for Barrier Polishing for Manufacturing Copper Interconnects, Polishing Method Using the Composition, and Semiconductor Device Manufactured by the Method
US20080171441A1 (en) Polishing compound and method for producing semiconductor integrated circuit device
TWI471413B (zh) 在製造銅互連線上之障壁研磨用的化學機械研磨(cmp)漿體組成物、使用該組成物的研磨方法及藉由該方法製造的半導體裝置
KR100725550B1 (ko) 구리 배선 연마용 슬러리 조성물 및 이를 이용한 금속배선 연마 방법