JP2017190450A - 複合粒子、その精製方法及び使用 - Google Patents

複合粒子、その精製方法及び使用 Download PDF

Info

Publication number
JP2017190450A
JP2017190450A JP2017070850A JP2017070850A JP2017190450A JP 2017190450 A JP2017190450 A JP 2017190450A JP 2017070850 A JP2017070850 A JP 2017070850A JP 2017070850 A JP2017070850 A JP 2017070850A JP 2017190450 A JP2017190450 A JP 2017190450A
Authority
JP
Japan
Prior art keywords
particles
ceria
less
composite particles
particle size
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017070850A
Other languages
English (en)
Other versions
JP6557273B2 (ja
Inventor
ホーンジュン ジョウ
Hongjun Zhou
ホーンジュン ジョウ
エドワード クインシー ヒューズ ジョン
Edward Quincy Hughes John
エドワード クインシー ヒューズ ジョン
ピー.ムレッラ クリシュナ
P Murella Krishna
ピー.ムレッラ クリシュナ
マリオ マチャド レイナルド
Reinaldo Mario Machado
マリオ マチャド レイナルド
マーク レオナルド オニール
O'neal Leonard Marc
レオナルド オニール マーク
チャンドラカント タンボリ ドンヤネシュ
Dnyanesh Chandrakant Tamboli
チャンドラカント タンボリ ドンヤネシュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Versum Materials US LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Versum Materials US LLC filed Critical Versum Materials US LLC
Publication of JP2017190450A publication Critical patent/JP2017190450A/ja
Application granted granted Critical
Publication of JP6557273B2 publication Critical patent/JP6557273B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • C09K3/1445Composite particles, e.g. coated particles the coating consisting exclusively of metals
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/18Preparation of finely divided silica neither in sol nor in gel form; After-treatment thereof
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09CTREATMENT OF INORGANIC MATERIALS, OTHER THAN FIBROUS FILLERS, TO ENHANCE THEIR PIGMENTING OR FILLING PROPERTIES ; PREPARATION OF CARBON BLACK  ; PREPARATION OF INORGANIC MATERIALS WHICH ARE NO SINGLE CHEMICAL COMPOUNDS AND WHICH ARE MAINLY USED AS PIGMENTS OR FILLERS
    • C09C1/00Treatment of specific inorganic materials other than fibrous fillers; Preparation of carbon black
    • C09C1/28Compounds of silicon
    • C09C1/30Silicic acid
    • C09C1/3045Treatment with inorganic compounds
    • C09C1/3054Coating
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/463Mechanical treatment, e.g. grinding, ultrasonic treatment
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/50Agglomerated particles
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/60Particles characterised by their size
    • C01P2004/62Submicrometer sized, i.e. from 0.1-1 micrometer

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Composite Materials (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Nanotechnology (AREA)
  • Dispersion Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)
  • Polishing Bodies And Polishing Tools (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Geology (AREA)
  • Silicon Compounds (AREA)

Abstract

【課題】精製された凝集複合粒子、精製方法、及び性能要求を達成することができる研磨用途において精製粒子を使用する方法を提供する。
【解決手段】より低い平均粒子サイズ及びより小さいサイズ分布を持つ複合粒子は、精製処理を通じて得られる。精製された複合粒子、例えば、セリアコートシリカ粒子は、化学機械平坦化(CMP)組成物中で使用されて、酸化物膜の研磨に対して、より高い除去速度、除去速度についての極めて低いウエハ内非均一性(WWNU)、低いディッシング及び低い欠陥を提供する。
【選択図】図1

Description

本出願は、2016年3月31日に出願された、米国仮特許出願第62/316089号の優先権の利益を主張し、それは、参照することにより、その全体が本明細書に組み込まれる。
化学機械平坦化(「CMP」)研磨組成物(CMPスラリー、CMP組成物又はCMP配合物が交換可能に使用される)は、半導体デバイスの製造において使用される。本出願は、酸化ケイ素材料を含む研磨パターン化半導体ウエハに特に適する、(研磨粒子として使用される)精製された複合粒子を含む研磨組成物に関する。
酸化ケイ素は、半導体産業において、誘電体材料として広く使用されている。集積回路(IC)の製造プロセスにおいては、幾つかのCMP工程、例えば、シャロートレンチアイソレーション(STI)、層間誘電体(ILD)CMP、及びゲートポリCMPなどが存在する。典型的な酸化物CMPスラリーは、研磨剤を含み、他の薬剤を含むか又は含まない。他の薬剤は、スラリー安定性を改善するための分散剤か、除去速度を増加するための促進剤か、又は、除去速度を減少させ、他の膜上、例えば、STI用途のためのSiN上で停止するための防止剤かであることができる。
最先端の半導体テクノロジーノードでのCMPスラリーのために望ましい特性は、低減した欠陥、高い除去速度、除去速度についての極めて低いウエハ内非均一性(WWNU)及び低いトポグラフィーである。除去速度について極めて低いWWNUを有することは特に重要である。より高い非均一性は、ウエハ上の領域での研磨過剰(over−polish)と、少ない材料が除去される研磨不足(under−polish)とを引き起こす。これは、半導体の製造において望ましくないウエハ表面上の不均一なトポグラフィーをもたらす。したがって、所望の均一な除去速度プロファイルを得るために、パッド、コンディショニング、研磨領域の圧力調整に関して、多くのCMPプロセスの発展が要求される。
CMPスラリー中で使用される一般的な研磨剤、例えば、シリカ、アルミナ、ジルコニア、チタニアなどの中で、セリアは、酸化ケイ素に対するその高い反応性のためよく知られており、シリカに対するセリアの高い反応性に起因して、最も高い酸化物除去速度(RR)のためにSTI CMPスラリー中で広く使用される。
Cookら(Lee M.Cook,Journal of Non−Crystalline Solids 120(1990)152−171)は、セリアのこの優れた性質を説明するために、「化学歯(chemical tooth)」作用を提案した。この作用によれば、セリア粒子が酸化ケイ素膜上に押し当てられた場合、セリアはシリカ結合を破壊し、表面からCe−O−Si構造を形成し、したがって、開裂シリカを形成する。
CMP産業で使用されるセリアの多くは、焼成−湿式粉砕プロセスから製造される。得られたセリアは、尖ったエッジと、極めて広いサイズ分布とを有する。それはまた、極めて大きい「粗大粒子数」(LPC)を有する。これらの全ては、ウエハが研磨された後に、欠陥及び低い歩留り、特にスクラッチの原因となると考えられる。コロイダルセリア又はセリアコートシリカ粒子のようなセリア含有粒子の異なる形態はまた、これらの難しい課題を解決すると考えられる。
セリアコートシリカ粒子は、より低い欠陥性を持つ酸化ケイ素膜の高い除去速度を得るために特に有用であることがわかった(PCT/US16/12993)。しかし、さらに除去速度を改善し、除去速度のウエハ内非均一性(WWNU)を制御し、研磨欠陥を低減するために、ニーズが未だに存在している。
本発明は、性能要求を達成することができる研磨用途における、精製された凝集複合粒子、精製方法、及び精製された複合粒子の使用方法に関する。
したがって、除去速度についての優れたウエハ内非均一性と、より高い除去速度と、低い欠陥とを提供することができるCMP組成物、方法、及びシステムについての重要なニーズが存在している。
本明細書で説明されるのは、精製された複合粒子、それらの精製方法及び使用である。
1つの態様において、本発明は、単一セリアコートシリカ粒子と、凝集セリアコートシリカ粒子とを含む複合粒子であり、99wt%超の複合粒子が、10個以下(≦10個)〜2個以下(≦2個)の範囲、例えば、≦10個、≦5個、≦4個、≦3個及び≦2個の単一セリアコートシリカ粒子数を含む。
別の態様において、本発明は、単一粒子及び凝集粒子を含む複合粒子を精製して粗大凝集体を減らすプロセスであって、(1)濾過;(2)ボウル遠心分離;(3)固定角回転式遠心分離;(4)重力沈降;(5)焼成及び破砕プロセスの改良;並びにそれらの組み合わせからなる群より選択される少なくとも1つの工程を含み、単一粒子が、ナノ粒子により被覆された表面を持つコア粒子を含み、コア粒子が、シリカ、アルミナ、チタニア、ジルコニア、ポリマー粒子、及びそれらの組み合わせからなる群より選択され、ナノ粒子が、ジルコニウム、チタン、鉄、マンガン、亜鉛、セリウム、イットリウム、カルシウム、マグネシウム、フッ素、ランタン、ストロンチウムのナノ粒子、及びそれらの組み合わせからなる群の化合物から選択される、プロセスである。
また別の態様において、本発明は、
単一セリアコートシリカ粒子及び凝集セリアコートシリカ粒子を含む複合粒子であって、99wt%超の複合粒子が、≦5個、好ましくは≦4個、又はより好ましくは≦2個の単一セリアコートシリカ粒子を含む、複合粒子と、
水とを含み、
pHが、約2〜約12、好ましくは約3.5〜約10、より好ましくは約4〜約7の範囲であり、
任意選択で、
pH調整剤と、
界面活性剤と、
生物成長防止剤とを含む、化学機械平坦化(CMP)研磨組成物である。
また別の態様において、本発明は、少なくとも1つの酸化物層を有する少なくとも1つの表面を含む半導体基材の化学機械平坦化(CMP)のための研磨方法であって、
a)少なくとも1つの酸化物層を研磨パッドと接触させる工程と、
b)CMP研磨組成物を、少なくとも1つの表面に送る工程と、
c)CMP研磨組成物で、少なくとも1つの酸化物層を研磨する工程と
を含み、CMP研磨組成物が、
単一セリアコートシリカ粒子及び凝集セリアコートシリカ粒子を含む複合粒子であって、99wt%超の複合粒子が、≦5個、好ましくは≦4個、又はより好ましくは≦2個の単一セリアコートシリカ粒子を含む、複合粒子と、
水とを含み、
pHが、約2〜約12、好ましくは約3.5〜約10、より好ましくは約4〜約7の範囲であり、
任意選択で、
pH調整剤と、
界面活性剤と、
生物成長防止剤とを含む、研磨方法である。
また別の態様において、本発明は、
少なくとも1つの酸化物層を有する少なくとも1つの表面を含む半導体基材と、
研磨パッドと、
CMP研磨組成物とを含み、少なくとも1つの酸化物層が、研磨パッド及び研磨組成物と接触しており、CMP研磨組成物が、
単一セリアコートシリカ粒子及び凝集セリアコートシリカ粒子を含む複合粒子であって、99wt%超の複合粒子が、≦5個、好ましくは≦4個、又はより好ましくは≦2個の単一セリアコートシリカ粒子を含む、複合粒子と、
水とを含み、
pHが、約2〜約12、好ましくは約3.5〜約10、より好ましくは約4〜約7の範囲であり、
任意選択で、
pH調整剤と、
界面活性剤と、
生物成長防止剤とを含む、化学機械平坦化のためのシステムである。
pH調整剤としては、限定されないが、水酸化ナトリウム、水酸化セシウム、水酸化カリウム、水酸化セシウム、水酸化アンモニウム、4級有機水酸化アンモニウム、及びそれらの組み合わせが挙げられる。
化学添加剤としては、限定されないが、有機カルボン酸、アミノ酸、アミドカルボン酸、N−アシルアミノ酸、及びそれらの塩;有機スルホン酸及びそれらの塩;有機ホスホン酸及びそれらの塩;ポリマーカルボン酸及びそれらの塩;ポリマースルホン酸及びそれらの塩;ポリマーホスホン酸及びそれらの塩;アリールアミン、アミノアルコール、脂肪族アミン、複素環アミン、ヒロドキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、並びにそれらの組合わせからなる群より選択される官能基を有する化合物が挙げられる。
複合粒子は、単一セリアコートシリカ粒子及び凝集セリアコートシリカ粒子を含むことができ、99wt%の複合粒子が、250nm未満、好ましくは200nm未満、より好ましくは190nm未満の粒子サイズを有する。
セリアコートシリカ粒子は、150nm未満、好ましくは125nm未満、又はより好ましくは110nm未満の平均粒子サイズをさらに有することができ、平均粒子サイズとは、粒子の直径の加重平均である。
セリアコートシリカ粒子は、単結晶のセリアナノ粒子で被覆された表面を有するアモルファスシリカセリア粒子である。
崩壊力の下での複合粒子のサイズ分布の変化は、10%未満、好ましくは5%未満、又はより好ましくは2%未満である。
半導体基材が窒化物層をさらに含む場合は、CMP研磨は、10超である窒化物層に対する少なくとも1つの酸化物層の除去選択性を提供する。
ディスク遠心分離粒子サイズ分析器による、単一セリアコートシリカ粒子のクラスタの粒子サイズ分布を示す。 様々な粒子サイズ精製処理との粒子サイズ分布の比較を示す。 3つのグループA、B及びCのセリアコートシリカ粒子からの粒子サイズ分布の比較を示す。
組成粒子
複合粒子は、一次(又は単一)粒子及び凝集一次(又は単一)粒子を含有する。一次粒子は、コア粒子と、そのコア粒子の表面を被覆する多数のナノ粒子とを有する。
コア粒子は、シリカ、アルミナ、チタニア、ジルコニア、及びポリマー粒子からなる群より選択される。ナノ粒子は、ジルコニウム、チタン、鉄、マンガン、亜鉛、セリウム、イットリウム、カルシウム、マグネシウム、フッ素、ランタン及びストロンチウムのナノ粒子の酸化物からなる群より選択される。複合粒子の例の1つは、コア粒子としてシリカと、ナノ粒子としてセリアとを有するものであり、各シリカコア粒子は、そのシェルを被覆するセリアナノ粒子を有する。各シリカ粒子の表面はセリアナノ粒子で被覆される。シリカベース粒子はアモルファスであり、セリアナノ粒子は単結晶である。
一次粒子は、アモルファスシリカ粒子の表面上に、アルミニウム、ジルコニウム、チタン、鉄、マンガン、亜鉛、セリウム、イットリウム、カルシウム、マグネシウム、フッ素、ランタン、ケイ素、及びストロンチウムの中の少なくとも1種の元素を含むアモルファス酸化物層Aと、それらの上にジルコニウム、チタン、鉄、マンガン、亜鉛、セリウム、イットリウム、カルシウム、マグネシウム、フッ素、ランタン及びストロンチウムの中から選択される少なくとも1種の元素を含む結晶性酸化物層Bと、を有することができる。シリカコア粒子を被覆するナノ粒子はまた、部分的に又は完全にのいずれかで表面を被覆するケイ素含有膜の薄い層を有することができる。
セリアコートシリカ粒子は、任意の適切な方法を使用して作ることができる。例えば、そのような粒子を作る方法は、PCT/JP2016/060535、JP20131191131、JP2013133255、JP2015−169967及びJP2015−183942で説明される。
典型的に、セリアコートシリカ粒子のような複合粒子を形成する方法は、シリカコア粒子上へのセリウム化合物の堆積と、その後の焼成工程及び粉砕工程とを含む。しかしながら、焼成工程は粒子の凝集をもたらす。凝集粒子数を減らすための例示の方法の幾つかは、より低い焼成条件、例えば、より低温又はより少ない焼成時間、より活動的な粉砕条件、粉砕中の分散剤の使用、粉砕後処理、例えば、遠心分離又は濾過又は凝集粒子数を減らす任意の他の技術を使用することができる。
コア粒子の表面を被覆するナノ粒子の量は、固形分重量比に関して以下の範囲内にあることが好ましい。コア粒子の固形分重量(a)に対するナノ粒子の固形分重量(b)は、(b)/(a)=0.01〜2、好ましくは0.25〜1.5、又は最も好ましくは0.5〜1.3である。
コア粒子を被覆するセリアナノ粒子の直径は、1nm超、又は好ましくは10nm超、好ましくは13nm超である。より大きいセリア粒子の直径を有することで、より高い除去速度を得ることが可能となる。
コア粒子の直径は、10nm〜500nm、好ましくは20nm〜200nm、最も好ましくは50nm〜150nmの範囲であることができる。コア粒子はナノ粒子より大きい。
典型的に、セリアコートシリカ粒子を形成する方法は、シリアコア粒子上へのセリウム化合物の堆積と、その後の焼成工程及び粉砕工程とを含む。しかしながら、焼成工程は粒子の凝集をもたらす。これらの凝集粒子は、一次粒子のクラスタで作られる。
一次(又は単一)粒子のそれぞれは球形であり、サイズが均一であり、50〜300nmの直径を有することができる。
一次(又は単一)粒子は、物理的に結合されて凝集性のある組織化クラスタになり、凝集粒子を形成する場合がある。クラスタは、2〜50個の一次(又は単一)粒子を含有する。
凝集粒子は、個々の一次粒子から50個の一次粒子を含有するクラスタまで、連続したサイズ分布を有する。
平均粒子サイズは、粒子の直径の加重平均である。例えば、
加重平均の平均粒子サイズ=(W1*D1+W2*D2+・・・Wn*Dn)/(W1+W2+・・・Wn)
であり、式中、W1、W2、・・・Wnは、粒子の直径D1、D2、・・・Dnで定義された粒子サイズの階級における粒子の重量分率である。
凝集粒子のサイズ分布は、個々のピーク又は極大がクラスタの水力直径で決まるように、粒子の直径プロファイルに対して重量%で分類される。
凝集粒子のサイズ分布は、適切な粒子サイズの測定技術、例えば、ディスク遠心分離(DC)、動的光散乱(DLS)、単一粒子光学的寸法測定法(SPOS)、電子顕微鏡を使用することで、決定することができる。
図1は、ディスク遠心分離粒子サイズ分析器による、凝集セリアコートシリカ粒子の典型的なサイズ分布を示す。粒子クラスタについての特徴的なピークがまた図1中に示される。
ピークは、n個の一次粒子で作られるそれぞれのその後のクラスタの水力直径が、
クラスタの直径=一次粒子の直径×na(式中、n=クラスタ中の一次粒子数であり、1/4<a<1/3である)により与えらるパターンに従う。
凝集粒子数の減少は、(1)濾過;(2)ボウル遠心分離;(3)固定角回転式遠心分離;(4)重力沈降;並びに(5)焼成及び破砕プロセスの最適化のうち任意の1つ及び任意の組み合わせを使用することで、達成することができる。
凝集粒子数の減少はまた、適切な粒子サイズの測定技術、例えば、ディスク遠心分離(DC)、動的光散乱(DLS)、単一粒子光学的寸法測定(SPOS)、電子顕微鏡を使用することで決定することができる。粒子サイズ分布の特徴づけのために好ましい方法は、ディスク遠心分離(DC)に基づく技術である。
精製されたセリアコートシリカ粒子の別の態様は、それらが崩壊力の下で崩壊しないことである。崩壊力下での粒子安定性は、配合物を30分間の超音波処理にかけ、サイズ分布の変化を測定することによって決定することができる。超音波処理のための好ましい条件は、100Wの出力で42KHZの周波数を持つ浴中での30分間の浸漬である。粒子サイズ分布は、任意の適切な技術、例えば、ディスク遠心分離(DC)法又は動的光散乱(DLS)を使用することで測定することができる。
超音波処理後のサイズ分布の変化は、平均粒子サイズ、又はD50(50wt%の粒子がこのサイズ未満で、50wt%がこのサイズ超)、又はD99(99wt%の粒子がこのサイズ未満で、1wt%がこのサイズ超)又は任意の同様のパラメータの変化に関して特徴付けることができる。
好ましくは、超音波処理後のセリアコートシリカ粒子の粒子サイズ分布の変化は、例えば、DC並びに平均粒子サイズ、D50、D75及び/又はD99を使用することによって、10%未満、好ましくは5%未満、又はより好ましくは2%未満である。
化学機械平坦化(CMP)
精製された凝集粒子は、CMP組成物(又はCMPスラリー、又はCMP配合物)中で、研磨粒子として使用することができる。
例は、酸化物膜、例えば、様々な金属酸化物膜、及び様々な窒化物膜を研磨するための、STI(シャロートレンチアイソレーション)のCMP配合物である。STI配合物において、シリカコートセリア複合粒子を含む配合物は、酸化ケイ素膜の極めて高い除去速度と、窒化ケイ素研磨停止膜の極めて低い除去速度とを提供することができる。これらのスラリー配合物は、限定されないが、熱酸化物、テトラエチルオルソシリケート(TESO)、高密度プラズマ(HDP)酸化物、高アスペクト比プロセス(HARP)膜、フッ素化酸化物膜、ドープされた酸化物膜、有機シランガラス(OSG)、低−K誘電体膜、スピンオンガラス(SOG)、ポリマー膜、流動性化学気相堆積(CVD)膜、光学ガラス、ディスプレイガラスを含む様々な膜及び材料を研磨するために使用することができる。
配合物はまた、トポグラフィーが除去され平坦な表面が得られた際に研磨が停止される、停止膜(stop−in−film)用途において使用することができる。代替的に、これらの配合物は、バルク膜を研磨すること及びストッパー層で停止することを含む用途において使用することができる。これらの配合物は、限定されないが、シャロートレンチアイソレーション(STI)、層間誘電体(ILD)研磨、金属間誘電体(IMD)研磨、シリコン貫通ビア(TSV)研磨、ポリSi又はアモルファスSi膜の研磨、SiGe膜、Ge膜及びIII−V半導体膜を含む様々な用途において使用することができる。
配合物はまた、任意の他の用途、例えば、高い除去速度が望まれるウエハ研削又はソーラーウエハ処理又はガラス研磨において使用することができる。
幾つかの実施形態において、研磨配合物は、160オングストローム/分未満の窒化ケイ素及びポリSi膜の研磨速度を有しつつ、2000オングストローム/分超の研磨速度で酸化ケイ素膜を研磨するために使用することができる。
幾つかの他の実施形態において、酸化ケイ素膜とポリSi膜との間の除去速度選択性は、1:4〜4:1であることができる。
CMPスラリー中で、多数の一次粒子を有するクラスタ数を減らすことにより、高い除去速度、除去速度についての極めて低いウエハ内非均一性(WWNU)、平坦な除去速度プロファイル、低いディッシング及び低い欠陥に関して、有意なかつ予期しない改善を確認することができる。
1つの実施形態において、CMP研磨組成物は、D99が250nm未満又は好ましくは200nm未満であるセリアコートシリカ粒子を含み、ここでD99とは、ディスク遠心分離(DC)粒子サイズ分析で測定した場合の粒子サイズ分布に基づいて、全体の粒子の99wt%がD99より小さい粒子径を有し、全体の粒子の1wt%がD99より大きい粒子サイズを有する、粒子サイズの閾値として定義される。
別の実施形態において、CMP研磨組成物は、遠心分離粒子サイズ分析で測定した場合の平均粒子サイズが150nm未満、又は好ましくは125nm未満、又はより好ましくは110nm未満であるセリアコートシリカ粒子を含む。
別の実施形態において、CMP研磨組成物は、遠心分離粒子サイズ分析で測定した場合の平均粒子サイズが150nm未満、又は好ましくは125nm未満、又はより好ましくは110nm未満であり、D99が250nm未満、又は好ましくは200n未満であるセリアコートシリカ粒子を含む。
別の実施形態において、CMP研磨組成物は、遠心分離粒子サイズ分析で測定した場合の平均粒子サイズが150nm未満、又は好ましくは125nm未満、又はより好ましくは110nm未満であり、D99が250nm未満、又は好ましくは200n未満であり、崩壊力下で10%未満の粒子サイズ分布の変化を示す、セリアコートシリカ粒子を含む。
別の実施形態において、CMP研磨組成物は、全体の粒子の1wt%未満が5個以上の一次セリアコートシリカ粒子を含む凝集体を有するセリアコートシリカ粒子を含む。一次セリアコートシリカ粒子は、単一非凝集セリアコートシリカ粒子である。
幾つかの実施形態において、CMP配合物は、少なくとも5個の粒子を含む凝集クラスタ数が、ディスク遠心分離(DC)で測定した場合に、粒子の全体重量の1wt%未満を構成するか、又は好ましくは、少なくとも4個の粒子を含む凝集クラスタ数が、ディスク遠心分離(DC)で測定した場合に、粒子の全体重量の1wt%未満を構成するか、又はさらにより好ましくは、少なくとも3個の粒子を含む凝集クラスタ数が、ディスク遠心分離(DC)で測定した場合に、粒子の全体重量の1wt%未満を構成するように粒子精製した、セリアコートシリカ粒子を含む。最も好ましい精製において、全体の粒子分布は、ディスク遠心分離(DC)で測定した場合に、大部分が、粒子の全体重量の1wt%未満を構成する、少なくとも2個の粒子を含む凝集クラスタ数を持つ、非凝集の一次複合粒子を含む。
幾つかの実施形態において、CMP配合物は、2個以下(≦2個)の一次粒子を含む凝集クラスタ数が、ディスク遠心分離(DC)で測定した場合に、粒子の全体重量の85wt%超を構成するか、又はより好ましくは、2個以下(≦2個)の一次粒子を含む凝集クラスタ数が、ディスク遠心分離(DC)で測定した場合に、粒子の全体重量の90wt%超を構成するか、又はさらにより好ましくは、2個以下(≦2個)の一次粒子を含む凝集クラスタ数が、ディスク遠心分離(DC)で測定した場合に、粒子の全体重量の95wt%超を構成するように粒子精製したセリアコートシリカ粒子を含む。最も好ましい精製において、全体の粒子分布は、ディスク遠心分離(DC)で測定した場合に、大部分が、粒子の全体重量の99wt%超を構成する、2個以下の一次粒子を含む凝集クラスタ数を持つ、非凝集の一次複合粒子を含む。
幾つかの実施形態において、(透過電子顕微鏡によりコア粒子の平均直径を測定することで測定される場合の)コア粒子サイズに対するD99の比は、より好ましくは3未満、又は最も好ましくは2未満である。
別の実施形態において、CMPスラリー配合物は、精製した後の、ディスク遠心分離粒子サイズ分析により測定した場合の平均粒子サイズが、精製していない粒子に対して25nm以上、又はより好ましくは35nm超減るように精製された、セリアコートシリカ粒子を含む。
別の実施形態において、粒子サイズ分布の精製方法は、CMP配合物中で使用されるセリアコートシリカ粒子における粗大凝集体数を減らすために使用される。粒子サイズ分布の精製の方法としては、セリアコートシリカ粒子の焼成及び粉砕の最適化、重力沈降、並びに遠心分離を挙げることができる。
別の実施形態において、本明細書で説明されるのは、少なくとも1つの酸化物層を有する少なくとも1つの表面を含む半導体基材と、研磨パッドと、D99が250nm未満、又は好ましくは200nm未満であるセリアコートシリカ粒子を含む研磨組成物とを含む、化学機械平坦化のためのシステムである。
別の実施形態において、本明細書で説明されるのは、少なくとも1つの酸化物層を有する少なくとも1つの表面を含む半導体基材と、研磨パッドと、D99が250nm未満、又は好ましくは200nm未満であるセリアコートシリカ粒子を含み、崩壊力下で10%未満の粒子サイズ分布の変化を示す研磨組成物とを含む、化学機械平坦化のためのシステムである。
別の実施形態において、本明細書で説明されるのは、少なくとも1つの酸化ケイ素層を有する少なくとも1つの表面を含む半導体基材と、研磨パッドと、全体の粒子の1wt%未満が4個以上又は5個以上の一次粒子(単一非凝集セリアコートシリカ粒子)を含む凝集体を有するセリアコートシリカ粒子を含む研磨組成物と、を含む化学機械平坦化のためのシステムである。
別の実施形態において、本明細書で説明されるのは、少なくとも1つの酸化物層を有する少なくとも1つの表面を含む半導体基材と、研磨パッドと、ディスク遠心分離粒子サイズ分析で測定した場合に、平均粒子サイズが150nm未満、又は好ましくは125nm未満、又はより好ましくは110nm未満であるセリアコートシリカ粒子を含む研磨組成物とを含む、化学機械平坦化のためのシステムである。
別の実施形態において、本明細書で説明されるのは、少なくとも1つの酸化ケイ素層を有する少なくとも1つの表面を含む半導体基材と、研磨パッドと、ディスク遠心分離粒子サイズ分析で測定した場合に、平均粒子サイズが150nm未満、又は好ましくは125nm未満、又はより好ましくは110nm未満であり、D99が250nm未満、又は好ましくは200nm未満であるセリアコートシリカ粒子を含む研磨組成物とを含む、化学機械平坦化のためのシステムである。
別の態様は、研磨力下で崩壊しないセリアコートシリカ粒子の使用である。粒子が研磨力(すなわち、崩壊力)の作用の下で破壊されなく、元の粒子サイズの特性を維持する場合、除去速度が高いままであると推定される。他方で、粒子が研磨力で崩壊する場合、高い除去速度に関与する表面上でセリアナノ粒子が脱離した際に、除去速度が減少する。粒子の破壊はまた、スクラッチ欠陥に望ましくない影響を及ぼす可能性がある、不規則形状の粒子を作り出すことがある。
CMPスラリー配合物中でそのような安定な粒子を使用することで、膜材料除去のための研磨力の効果的な利用が可能となり、また、スクラッチ欠陥へ寄与する任意の不規則形状の生成が防がれる。
最先端のCMP用途では、研磨後に誘電体表面上で、ナトリウムのような金属の極めて低いレベルを要求するため、スラリー配合物中で極めて低い遷移金属、特にナトリウムを有することが望ましい。幾つかの好ましい実施形態において、配合物は、配合物中の粒子の各割合について、5wtppm未満、より好ましくは1wtppm未満、最も好ましくは0.5wtppm未満のナトリウム不純物レベルを有するセリアコートシリカ粒子を含む。
CMP組成物は、研磨粒子として精製された複合粒子と、CMP組成物のpHを最適化したpH条件に調整するために使用されるpH調整剤と、研磨設計膜/停止層の除去速度を促進/抑制するための適切な化学添加剤と、残部に水とを含む。
研磨剤は、0.01wt%〜20wt%、好ましくは0.05wt%〜5wt%、より好ましくは約0.1wt%〜約1wt%の量で存在する。
化学添加剤としては、限定されないが、有機カルボン酸、アミノ酸、アミドカルボン酸、N−アシルアミノ酸、及びそれらの塩;有機スルホン酸及びそれらの塩;有機ホスホン酸及びそれらの塩;ポリマーカルボン酸及びそれらの塩;ポリマースルホン酸及びそれらの塩;ポリマーホスホン酸及びそれらの塩;アリールアミン、アミノアルコール、脂肪族アミン、複素環アミン、ヒロドキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、並びにそれらの組み合わせからなる群より選択される官能基を有する化合物が挙げられる。
化学添加剤の量は、バリアCMP組成物の全体重量に対して、約0.01ppm(又は0.000001wt%)〜0.5wt%の範囲である。好ましい範囲は、約200ppm(又は0.02wt%)〜0.3wt%であり、より好ましい範囲は、約500ppm(又は0.05wt%)〜0.15wt%である。
pH調整剤としては、限定されないが、水酸化ナトリウム、水酸化セシウム、水酸化カリウム、水酸化セシウム、水酸化アンモニウム、4級有機水酸化アンモニウム(例えば、水酸化テトラメチルアンモニウム)、及びそれらの混合物が挙げられる。
pH調整剤の量は、CMP組成物の全体重量に対して、約0.0001wt%〜約5wt%の範囲である。好ましい範囲は、約0.0005wt%〜1wt%であり、より好ましい範囲は約0.0005wt%〜約0.5wt%である。
CMP組成物のpHは、2〜約12、好ましくは約3.5〜約10、より好ましくは約4〜約7の範囲である。
シャロートレンチアイソレーション(STI)又は3D−NANDデバイスについての酸化物研磨のような幾つかのCMP用途については、酸化物ラインフィーチャにおけるディッシングを減らすために、並びに、窒化ケイ素停止層の減少を減らすために、好ましくは3〜8、又は最も好ましくは4〜7の範囲のpHのCMP配合物を使用して研磨することが望ましいことがある。バリア金属研磨のような幾つかの用途については、望ましいpH範囲は5〜12、又はより好ましくは8〜11であることがある。
CMP組成物は、界面活性剤又は界面活性剤の混合物を含むことができる。界面活性剤は、a)非イオン性界面活性剤、b)アニオン性界面活性剤、c)カチオン性界面活性剤、d)両性界面活性剤、及びそれらの混合物を含む群から選択することができる。
非イオン性界面活性剤は、限定されないが、長鎖アルコール、エトキシル化アルコール、エトキシル化アセチレンジオール界面活性剤、ポリエチレングリコールアルキルエーテル、プロピレングリコールアルキルエーテル、グルコシドアルキルエーテル、ポリエチレングリコールオクチルフェニルエーテル、ポリエチレングリコールアルキルフェニルエーテル、グリセロールアルキルエステル、ポリオキシエチレングリコールソルビタンアルキルエステル、ソルビタンアルキルエステル、コカミドモノエタノールアミン、コカミドジエタノールアミン、酸化ドデシルジメチルアミン、ポリエチレングリコール及びポリプロピレングリコールのブロックコポリマー、ポリエトキシル化タローアミン、フッ素系界面活性剤を含む化学種の範囲から選択することができる。
アニオン性界面活性剤としては、限定されないが、適切な疎水性末端を含む塩、例えば、アルキルカルボキシレート、アルキルポリアクリル塩、アルキルスルフェート、アルキルホスフェート、アルキルビカルボキシレート、アルキルビスルフェート、アルキルビホスフェート、例えば、アルコキシカルボキシレート、アルコキシスルフェート、アルコキシホスフェート、アルコキシビカルボキシレート、アルコキシビスルフェート、アルコキシビホスフェート、例えば、置換アリールカルボキシレート、置換アリールスルフェート、置換アリールホスフェート、置換アリールビカルボキシレート、置換アリールビスルフェート、置換アリールビホスフェートなどが挙げられる。このタイプの表面湿潤剤についてのカウンターイオンとしては、限定されないが、カリウム、アンモニウム、及び他の陽イオンが挙げられる。これらのアニオン性表面湿潤剤の分子量は、数百〜数十万の範囲である。
カチオン性表面湿潤剤は、分子骨格の主要部に正味陽電荷を有する。カチオン性界面活性剤は、典型的に、疎水鎖及びカチオン性の荷電中心、例えば、アミン、4級アンモニウム、ベンザルコニウム及びアルキルピリジニウムのイオンを含む分子のハライドである。
また、別の態様において、界面活性剤は、主要な分子鎖上に、陽性(カチオン性)及び陰性(アニオン性)の電荷の両方及びそれらの相対カウンターイオンを有する、両性表面湿潤剤であることができる。カチオン部は、1級、2級、若しくは3級アミン又は4級アンモニウムカチオンに基づく。アニオン部はより多種であることができ、スルタインCHAPS(3−[(3−コラミドプロピル)ジメチルアンモニオ]−1−プロパンスルホネート)の場合のようなスルホネート、及びコカミドプロピルヒドロキシスルタインを含む。コカミドプロピルベタインのようなベタインは、アンモニウムを含むカルボキシレートを有する。両性界面活性剤の幾つかは、アミン又はアンモニウムを含むホスフェートアニオン、例えば、ホスホリピッド、ホスファチジルセリン、ホスファチジルエタノールアミン、ホスファチジルコリン、及びスフィンゴミエリンを有することができる。
界面活性剤の例としてはまた、限定されないが、ドデシル硫酸ナトリウム塩、ラウリル硫酸ナトリウム、ドデシル硫酸アンモニウム塩、第2アルカンスルホネート、アルコールエトキシレート、アセチレン界面活性剤、及びそれらの任意の組み合わせが挙げられる。適切な商業的に利用可能な界面活性剤の例としては、Dow Chemicals製のTRITON(商標)、Tergitol(商標)、DOWFAX(商標)ファミリーの界面活性剤、並びに、Air Products and Chemicals製の、SUIRFYNOL(商標)、DYNOL(商標)、Zetasperse(商標)、Nonidet(商標)、及びTomadol(商標)界面活性剤のファミリーが挙げられる。界面活性剤の適切な界面活性剤としてはまた、エチレンオキシド(EO)及びプロピレンオキシド(PO)基を含むポリマーを挙げることができる。EO−POポリマーの例は、BASF Chemicals製のTetronic(商標)90R4である。
分散剤及び/又は湿潤剤の機能を有する他の界面活性剤としては、限定されないが、アニオン性又はカチオン性又は非イオン性又は双性イオン性の特性を有することができるポリマー化合物が挙げられる。例は、例えば、アクリル酸、マレイン酸、スルホン酸、ビニル酸、エチレンオキシドなどのような官能基を含有するポリマー/コポリマーである。
界面活性剤の量は、CMP組成物の全体重量に対して約0.0001wt%〜約10wt%の範囲である。好ましい範囲は、約0.001wt%〜約1wt%であり、より好ましい範囲は、約0.005wt%〜約0.1wt%である。
配合物はまた、アニオン性又はカチオン性又は非イオン性又は組み合わせの基を含むことができる水溶性ポリマーを含むことができる。ポリマー/コポリマーは、1000超、好ましくは10000〜4000000の範囲、より好ましくは50000〜2000000の範囲の分子量を有する。ポリマーは、限定されないが、ポリ(アクリル酸)、ポリ(メタクリル酸)、ポリ(2−アクリルアミド−2−メチル−1−プロパンスルホン酸)、カルボキシメチルセルロース、メチルセルロース、ヒドロキシプロピルメチルセルロース、ポリ(1−ビニルピロリドン−コ−2−ジメチルアミノエチルメタクリレート)を含むポリマーの群から選択することができる。CMP配合物中のポリマー濃度は、0.001wt%〜5wt%、又はより好ましくは0.005wt%〜2wt%、又は最も好ましくは0.01wt%〜1wt%の範囲であることができる。
キレート剤又はキレート配位子はまた、特に金属膜の研磨を含む用途において、金属カチオンのためのキレート配位子の親和性を促進するために使用することができる。キレート剤はまた、パッド汚れ及び除去速度の不安定性を引き起こすパッド上の金属イオンの生成を防ぐために使用することができる。適切なキレート剤、又はキレート配位子としては、限定されないが、例えば、ベンゼンスルホン酸、4−トリルスルホン酸、2,4−ジアミノ−ベンゾスルホン酸など、及び、また、非芳香族有機酸、例えば、イタコン酸、リンゴ酸、マロン酸、酒石酸、クエン酸、シュウ酸、グルコン酸、乳酸、マンデル酸、又はそれらの塩が挙げられる。キレート剤、又はキレート配位子の量は、バリアCMP組成物の全体重量に対して約0.01wt%〜約3.0wt%、好ましくは約0.4wt%〜約1.5wt%の範囲である。
研磨組成物は、金属研磨用途のために腐食防止剤をさらに含むことができる。適切な腐食防止剤としては、限定されないが、ベンゾトリアゾール(BTA)又はBTA誘導体、3−アミノ−1,2,4−トリアゾール、3,5−ジアミン−1,2,4−トリアゾール、他のトリアゾール誘導体、及びそれらの組み合わせが挙げられる。
研磨組成物は、酸化剤又はオキシダイザーを含む。酸化剤は任意の適切な酸化剤であることができる。適切な酸化剤としては、限定されないが、少なくとも1つのペルオキシ基(O)を含む1つ又は複数のペルオキシ化合物が挙げられる。適切なペルオキシ化合物としては、例えば、ペルオキシド、ペルスルフェート(例えば、モノペルスルフェート及びジペルスルフェート)、ペルカーボネート、それらの酸、それらの塩、及びそれらの混合物が挙げられる。他の適切な酸化剤としては、例えば、酸化ハライド(例えば、ヨウ素酸、過ヨウ素酸、それらの酸及びそれらの混合物など)、過ホウ酸、ペルボレート、ペルカルボネート、ペルオキシ酸(例えば、過酢酸、過安息香酸、それらの塩、それらの混合物など)、ペルマンガネート、セリウム化合物、フェリシアン化物(例えば、フェリシアン化カリウム)、それらの混合物などが挙げられる。
CMP組成物は、保管中に細菌及び真菌の成長を防ぐために、生物成長防止剤又は防腐剤を含むことができる。
生物成長防止剤としては、限定されないが、テトラメチルアンモニウムクロリド、テトラエチルアンモニウムクロリド、テトラプロピルアンモニウムクロリド、アルキルベンジルジメチルアンモニウムクロリド、及びアルキルベンジルジメチルアンモニウムヒドロキシド(アルキル鎖は、1〜約20の炭素原子の範囲である)、亜塩素酸ナトリウム、及び次亜塩素酸ナトリウムが挙げられる。
商業的に利用可能な防腐剤の幾つかは、Dow Chamicals製のKATHON(商標)及びNEOLENE(商標)製品ファミリー、及びLanxess製のPreventol(商標)ファミリーを含む。より詳しくは、米国特許第5230833号明細書(Rombergerら)及び米国特許出願公開第20020025762号明細書で開示される。それらの内容は、参照することにより、それらの全体が説明されたかのように、本明細書に組み込まれる。
配合物を濃縮物にして、使用場所で希釈することができる。代替的に、配合物を、2つ以上の複数の成分にして、使用場所で混合することができる。
Dow Corporationにより提供される研磨パッドIC1010パッドをCMPプロセスで使用した。
TEOS:前駆体としてテトラエチルオルソシリケートを使用した化学気相堆積(CVD)による酸化物膜
HDP:高密度プラズマ(HDP)技術により作られた酸化物膜
SiN膜:窒化ケイ素膜
パラメータ:
Å:オングストローム−長さの単位
BP:背圧(psi単位)
CMP:化学機械平坦化=化学機械研磨
CS:キャリア速度
DF:下向きの力:CMP中に適用される圧力(単位psi)
min:分
ml:ミリリットル
mV:ミリボルト
psi:ポンド毎平方インチ
PS:研磨ツールのプラテン回転速度(単位rpm(回転/分))
SF:研磨組成物の流量(ml/分)
除去速度及び除去速度選択性
除去速度(RR)=(研磨前の膜厚−研磨後の膜厚)/研磨時間
Bに対するAの除去速度選択性=(AのRR)/(BのRR)
全てのパーセンテージは、別段の記載がない限り、重量パーセントである。
粒子サイズ分布の測定を、ディスク遠心分離粒子サイズ分析器(CPS Instruments製のDC24000UHR)を使用して行った。粒子サイズ分布の曲線を、粒子の組成に基づいて計算して、複合粒子の粒子サイズ密度が3.64mg/cm3であるという仮定に基づいて生成した。
次の例におけるスラリー配合物は、スラリー配合物の残部として水を使用した。
例1:複合粒子の精製
セリアコートシリカ粒子の20wt%分散体(COPO−20)を、JGC C&Cから得た。透過電子顕微鏡(TEM)での平均直径の測定により測定した場合のコアシリカ粒子の粒子サイズは、およそ100nmであった。平均粒子の直径の測定で測定した場合のセリアナノ粒子のサイズはおよそ13nmであった。
粒子分散体を、2つの方法、(1)濾過;及び(2)固定角回転式遠心分離を使用して精製した。
分散体を0.1μmの薄膜に通過させることにより、濾過を行った。
固定角回転式遠心分離(FARC)を、1000RPMの速度で1時間行った。
固定角回転式遠心分離における1つの条件(RE2003)の下で、1リットルのセリア分散体を遠心分離して、使用のために上部30%の留分(300ミリリットル)を抽出した。
固定角回転式遠心分離における別の条件(RE2004)の下で、1リットルのセリア分散体を遠心分離して、使用のために上部40%の留分(400ミリリットル)を抽出した。
粒子サイズ分布を図2に示した。
表1に、ディスク遠心分離粒子サイズ分析器(CPS Instruments製のDC24000UHR)で測定した場合の、元の(処理無し)、濾過を使用した後の精製CPOP−20又はFARCを使用した後の精製CPOP−20(RE2003及びRE2004)をまとめた。
RE2003及びRE2004処理により、MPS、D50、D75及びD99において劇的な減少がもたらされたことが、図2及び表1から明らかである。RE2003及びRE2004処理は、MPSにおいて、155nmから106nm未満への減少をもたらした。
D99において、287.5nmから、RE2003については166nm未満へ減少し、RE2004については182nm未満へ減少したことから明らかなように、大きいサイズの凝集粒子がまた劇的に減少した。
濾過は、粒子サイズ分布へ小さい影響を及ぼした。
粒子サイズ分布をまた、初期粒子数に関して粒子クラスタの分布を計算するために使用した。
表2に、FARC処理の前と後の粒子クラスタの分布をまとめた。
表2に示されるように、FARC処理により粒子クラスタのサイズが劇的に減少したことが明らかである。FARC試料中に存在したクラスタの多くは4個以下(≦4個)の初期粒子数を有していた。
例2:精製された複合粒子を使用したCMP
例1で説明したセリア分散体、元のCPOP−20(処理無し)、濾過、及びFARCを使用した後の精製CPOP−20を、CMP配合物中で使用した。
CMP配合物に、0.75wt%のセリアコートシリカ粒子と、0.077wt%のポリアクリル酸アンモニウム(分子量15000〜18000)とを配合した。
CMP配合物のpHを、水酸化アンモニウムを使用して5に調整した。
TEOSウエハをApplied Materials(商標)製のReflexion(商標)LK研磨機上で研磨した。Dow Chemicals製のIC1010(商標)パッドを研磨のために使用した。プラテン速度(PS)を103RPMにして、ヘッド速度(HS)を93RPMにした。5つのゾーン圧力パラメータ(RR/Z1/Z2/Z3/Z4/Z5)を、11.60/4.70/4.70/4.70/4.70/4.70psiに設定して、研磨中にウエハにわたって均一な下向きの力を提供した。
CMP配合物を使用した、除去速度及びウエハ内除去速度非均一性(WWNU(%))を表3にまとめた。
表3は、より低い平均粒子サイズ及びより小さいサイズ分布を有するFARC処理から得られたRE2003試料は、思いがけなく高い除去速度を提供し、同時に、ウエハ表面にわたって極めて平坦な除去速度分布を与えたことを示した。
例3:精製された複合粒子を使用したCMP
CMPスラリー配合物を生成し、例2で説明したものと同一の方法で試験した。これらの配合物のpHを6に調整した。追加的に、TEOSウエハを、KLA−Tencor製のAIT−XP(商標)欠陥計測ツールで分析した。例2と同様の研磨手順をこれらの試験で使用した。
表4に、これらの配合物についての除去速度及び欠陥性のデータをまとめた。
結果は、減少した粒子が、除去速度及び非均一性に有益な影響を及ぼすことを示した。さらに、結果は、減少した粒子サイズが、欠陥の有意な減少に有益な影響を及ぼすことを示した。
例4
3つのグループA、B及びCのセリアコートシリカ粒子を、様々な粒子サイズ分布精製技術により得た。セリアコートシリカ粒子は、コアシリカ及びコア粒子を被覆するナノ粒子に関して、同様の複合体粒子の特性を有していた。
表5に、それらの3つのグループのセリアコートシリカ粒子から、4つの変数の粒子サイズ分布の特性をまとめた。
図3は、それらの3つのグループのセリアコートシリカ粒子の粒子サイズ分布をプロットした。粒子サイズ分布Bは、より低いMPS、D50、D75及びD99の粒子サイズを持ち極めて狭かった。粒子サイズ分布Bにおいては2つのピークのみが観測され、粒子の多くが2個以下(≦2個)の複合粒子を含むクラスタであることを示した。対照的に、粒子サイズ分布A及び粒子サイズ分布Cは4個以上のピークを示し、4個以上の複合粒子のクラスタを含む相当数の粒子が存在することを示した。
CMPスラリー配合物を、0.5wt%のセリアコートシリカ粒子A、B及びCを用いて作った。配合物を、水酸化アンモニウムを使用してpH調整した。
研磨を、Applied Materials(商標)製のMirra(商標)研磨機上で、200mm直径のTEOSウエハにおいて行った。Dow Chemicals製のIC1010(商標)パッドを研磨のために使用した。テーブル速度は87RPMであった。キャリア速度は93RPMであった。下向きの力は3.7psiであった。
表6に、TEOS膜の除去速度データをまとめた。
表6にまとめた結果は、より低いD50、D75、D90及びMPSと、粒子サイズ分布において2つのピークのみとを持つ粒子サイズ分布Bが、思いがけなく高い除去速度を提供することを示した。
例5
CMPスラリー配合物を、例4で説明したように、0.5wt%のセリアコートシリカ粒子A、B及びCを用いて生成した。配合物を、水酸化アンモニウムを使用して5にpH調整した。研磨を、例4で説明した条件のように行った。
幾つかの配合物中に、0.1wt%のポリアクリル酸アンモニウム(MW16000〜18000)を加えた。
表7に、除去速度のデータをまとめた。
結果は、ポリアクリル酸アンモニウムの追加により、窒化ケイ素除去速度を抑制されたことを示した。ポリマーは、窒化ケイ素ストッパーとして作用し、したがって、高いTEOS除去速度と、調整可能なTEOS/SiN除去速度選択性との最適な組み合わせを達成することができた。
表7にまとめた結果はまた、より低いD50、D75、D90及びMPSを持つ粒子サイズ分布は、より高いTEOS/SiN除去速度選択性をもたらすことを提供した。
結果はまた、研磨配合物が、160オングストローム/分未満の窒化ケイ素の研磨速度を与えつつ、2000オングストローム/分超の酸化ケイ素の除去速度を提供したことを示した。
例6
CMPスラリー配合物を、例5で説明したように、0.5wt%のセリアコートシリカ粒子Bと、0.1wt%のポリアクリル酸アンモニウム(MW16000〜18000)とを用いて生成した。配合物を、水酸化アンモニウムを使用して6にpH調整した。研磨を、例5で説明した条件のように行った。
表8に、除去速度データをまとめた。結果は、pHを6に上げることで、TEOS:窒化物の選択性を47にまでさらに改善したことを示した。
本発明は、より低い平均粒子サイズ及びより小さい粒子サイズ分布を持つ複合粒子が、精製処理を通じて得られたことを示した。精製された複合粒子をCMP組成物中で使用して、高い除去速度、除去速度についての極めて低いウエハ内非均一性(WWNU)、低いディッシング及び低い欠陥を提供した。
前述の例及び実施形態の説明は、特許請求の範囲により規定された本発明を限定するというよりむしろ、例示であるとして考えられるべきである。容易に理解されるように、上で説明した多くの変更及び特徴の組み合わせを、特許請求の範囲で説明されたような本発明から逸脱することなく使用することができる。そのような変更は、以下の特許請求の範囲に記載の範囲内に含まれることが意図される。

Claims (24)

  1. 単一セリアコートシリカ粒子と、凝集セリアコートシリカ粒子とを含む複合粒子であって、99wt%超の前記複合粒子が、5個以下の単一セリアコートシリカ粒子を含む、複合粒子。
  2. (a)99wt%の前記複合粒子が250nm未満の粒子サイズを有する、
    (b)前記複合粒子が150nm未満の平均粒子サイズを有し、前記平均粒子サイズが粒子の直径の加重平均である、及び
    (c)それらの組み合わせ
    からなる群より選択される特徴を有する、請求項1に記載の複合粒子。
  3. (a)99wt%超の前記複合粒子が4個以下の単一セリアコートシリカ粒子を含む、
    (b)99wt%の前記複合粒子が200nm未満の粒子サイズを有する、
    (c)前記複合粒子が125nm未満又は110nm未満の平均粒子サイズを有する、及び
    (d)それらの組み合わせ
    からなる群より選択される特徴を有する、請求項1に記載の複合粒子。
  4. (a)99wt%超の前記複合粒子が2個以下の単一セリアコートシリカ粒子を含む、
    (b)99wt%の前記複合粒子が200nm未満の粒子サイズを有する、
    (c)前記複合粒子が110nm未満の平均粒子サイズを有する、及び
    (d)それらの組み合わせ
    からなる群より選択される特徴を有する、請求項1に記載の複合粒子。
  5. 前記セリアコートシリカ粒子が、単結晶のセリアナノ粒子により被覆された表面を有するアモルファスシリカ粒子である、請求項1に記載の複合粒子。
  6. 崩壊力の下での前記複合粒子のサイズ分布の変化が、10%未満である、請求項1に記載の複合粒子。
  7. 崩壊力の下での前記複合粒子のサイズ分布の変化が、2%未満である、請求項1に記載の複合粒子。
  8. 単一粒子及び凝集粒子を含む複合粒子を精製して、粗大凝集体を減らすプロセスであって、(1)濾過;(2)ボウル遠心分離;(3)固定角回転式遠心分離;(4)重力沈降;(5)焼成及び粉砕プロセスの最適化;並びにそれらの組み合わせからなる群より選択される少なくとも1つの工程を含み、
    前記単一粒子が、ナノ粒子により被覆された表面を持つコア粒子を含み、
    前記コア粒子が、シリカ、アルミナ、チタニア、ジルコニア、ポリマー粒子、及びそれらの組み合わせからなる群より選択され、
    前記ナノ粒子が、ジルコニウム、チタン、鉄、マンガン、亜鉛、セリウム、イットリウム、カルシウム、マグネシウム、フッ素、ランタン、ストロンチウムのナノ粒子、及びそれらの組み合わせからなる群の化合物から選択される、プロセス。
  9. 精製した後の99wt%超の精製された複合粒子が、5個以下の単一セリアコートシリカ粒子を含む、請求項8に記載のプロセス。
  10. 前記単一粒子及び前記凝集粒子が、単一セリアコートシリカ粒子及び凝集セリアコートシリカ粒子であり、前記セリアコートシリカ粒子が、単結晶のセリアナノ粒子により被覆された表面を有するアモルファスシリカセリア粒子である、請求項8に記載のプロセス。
  11. 精製された複合粒子が、
    (a)精製した後の99wt%超の前記複合粒子が5個以下の単一セリアコートシリカ粒子を含む、
    (b)99wt%の前記複合粒子が250nm未満の粒子サイズを有する、
    (c)前記複合粒子が150nm未満の平均粒子サイズを有し、前記平均粒子サイズが粒子の直径の加重平均である、及び
    (d)それらの組み合わせ
    からなる群より選択される特徴を有する、請求項10に記載のプロセス。
  12. 精製された複合粒子が、
    (a)99wt%超の前記複合粒子が4個以下の単一セリアコートシリカ粒子を含む、
    (b)99wt%の前記複合粒子が200nm未満の粒子サイズを有する、
    (c)前記複合粒子が125nm未満又は110nm未満の平均粒子サイズを有する、及び
    (d)それらの組み合わせ
    からなる群より選択される特徴を有する、請求項10に記載のプロセス。
  13. 崩壊力の下での、精製した後の精製された複合粒子のサイズ分布の変化が、10%未満である、請求項10に記載のプロセス。
  14. 単一セリアコートシリカ粒子と、凝集セリアコートシリカ粒子とを含む、0.01wt%〜20wt%の複合粒子であって、99wt%超の前記複合粒子が、5個以下の単一セリアコートシリカ粒子を含む複合粒子と、水とを含む、化学機械平坦化(CMP)研磨組成物であって、
    pHが約2〜約12の範囲であり、
    任意選択で、
    0.0001wt%〜約5wt%の、水酸化ナトリウム、水酸化セシウム、水酸化カリウム、水酸化セシウム、水酸化アンモニウム、4級有機水酸化アンモニウム、及びそれらの組み合わせからなる群より選択されるpH調整剤と、
    0.000001wt%〜0.5wt%の、有機カルボン酸、アミノ酸、アミドカルボン酸、N−アシルアミノ酸、及びそれらの塩;有機スルホン酸及びそれらの塩;有機ホスホン酸及びそれらの塩;ポリマーカルボン酸及びそれらの塩;ポリマースルホン酸及びそれらの塩;ポリマーホスホン酸及びそれらの塩;アリールアミン、アミノアルコール、脂肪族アミン、複素環アミン、ヒロドキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、並びにそれらの組み合わせからなる群より選択される官能基を有する化合物からなる群より選択される化学添加剤と、
    0.0001wt%〜約10wt%の、a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤、及びそれらの組み合わせからなる群より選択される界面活性剤と、
    0.001wt%〜5wt%の、アニオン性ポリマー、カチオン性ポリマー、非イオン性ポリマー、及びそれらの組み合わせからなる群より選択される水溶性ポリマーと、
    0.01wt%〜3.0wt%のキレート剤と、
    腐食防止剤と、
    酸化剤と、
    生物成長防止剤とを含む、化学機械平坦化(CMP)研磨組成物。
  15. 3.5〜10のpHを有する、請求項14に記載の化学機械平坦化(CMP)研磨組成物。
  16. 前記複合粒子が、
    (a)99wt%の前記複合粒子が250nm未満の粒子サイズを有する、
    (b)前記複合粒子が150nm未満の平均粒子サイズを有し、前記平均粒子サイズが粒子の直径の加重平均である、及び
    (c)それらの組み合わせ
    からなる群より選択される特徴を有する、請求項14に記載の化学機械平坦化(CMP)研磨組成物。
  17. 前記複合粒子が、
    (a)99wt%超の前記複合粒子が4個以下の単一セリアコートシリカ粒子を含む、
    (b)99wt%の前記複合粒子が200nm未満の粒子サイズを有する、
    (c)前記複合粒子が125nm未満又は110nm未満の平均粒子サイズを有する、及び
    (d)それらの組み合わせ
    からなる群より選択される特徴を有する、請求項14に記載の化学機械平坦化(CMP)研磨組成物。
  18. セリアコートシリカ粒子と、ポリアクリル酸アンモニウム(分子量15000〜18000)とを含み、4〜7のpHであり、99wt%超の前記セリアコートシリカ粒子が、4個以下の単一セリアコートシリカ粒子を含む、請求項14に記載の化学機械平坦化(CMP)研磨組成物。
  19. 少なくとも1つの酸化物層を有する少なくとも1つの表面を含む半導体基材の化学機械平坦化(CMP)のための研磨方法であって、
    (d)前記少なくとも1つの酸化物層を研磨パッドと接触させる工程と、
    (e)CMP研磨組成物を前記少なくとも1つの表面に送る工程と、
    (f)前記CMP研磨組成物で、前記少なくとも1つの酸化物層を研磨する工程とを含み、
    前記CMP研磨組成物が、
    単一セリアコートシリカ粒子と、凝集セリアコートシリカ粒子とを含む、0.01wt%〜20wt%の複合粒子であって、99wt%超の前記複合粒子が、5個以下の単一セリアコートシリカ粒子を含む複合粒子と、水とを含む、化学機械平坦化(CMP)研磨組成物であって、
    pHが約2〜約12の範囲であり、
    任意選択で、
    0.0001wt%〜約5wt%の、水酸化ナトリウム、水酸化セシウム、水酸化カリウム、水酸化セシウム、水酸化アンモニウム、4級有機水酸化アンモニウム、及びそれらの組み合わせからなる群より選択されるpH調整剤と、
    0.000001wt%〜0.5wt%の、有機カルボン酸、アミノ酸、アミドカルボン酸、N−アシルアミノ酸、及びそれらの塩;有機スルホン酸及びそれらの塩;有機ホスホン酸及びそれらの塩;ポリマーカルボン酸及びそれらの塩;ポリマースルホン酸及びそれらの塩;ポリマーホスホン酸及びそれらの塩;アリールアミン、アミノアルコール、脂肪族アミン、複素環アミン、ヒロドキサム酸、置換フェノール、スルホンアミド、チオール、ヒドロキシル基を有するポリオール、並びにそれらの組み合わせからなる群より選択される官能基を有する化合物からなる群より選択される化学添加剤と、
    0.0001wt%〜約10wt%の、a)非イオン性表面湿潤剤、b)アニオン性表面湿潤剤、c)カチオン性表面湿潤剤、d)両性表面湿潤剤、及びそれらの混合物からなる群より選択される界面活性剤と、
    0.001wt%〜5wt%の、アニオン性ポリマー、カチオン性ポリマー、非イオン性ポリマー、及びそれらの組み合わせを含む水溶性ポリマーと、
    0.01wt%〜3.0wt%のキレート剤と、
    腐食防止剤と、
    酸化剤と、
    生物成長防止剤とを含む、研磨方法。
  20. 前記複合粒子が、
    (a)99wt%の前記複合粒子が250nm未満の粒子サイズを有する、
    (b)前記複合粒子が150nm未満の平均粒子サイズを有し、前記平均粒子サイズが粒子の直径の加重平均である、及び
    (c)それらの組み合わせ
    からなる群より選択される特徴を有する、請求項19に記載の研磨方法。
  21. 前記複合粒子が、
    (a)99wt%超の前記複合粒子が4個以下の単一セリアコートシリカ粒子を含む、
    (b)99wt%の前記複合粒子が200nm未満の粒子サイズを有する、
    (c)前記複合粒子が125nm未満又は110nm未満の平均粒子サイズを有する、及び
    (d)それらの組み合わせ
    からなる群より選択される特徴を有する、請求項19に記載の研磨方法。
  22. 前記CMP研磨組成物が、セリアコートシリカ粒子と、ポリアクリル酸アンモニウム(分子量15000〜18000)とを含み、4〜7のpHであり、99wt%超の前記セリアコートシリカ粒子が、4個以下の単一セリアコートシリカ粒子を含み、99wt%の前記複合粒子が200nm未満の粒子サイズを有し、前記複合粒子が125nm未満の平均粒子サイズを有する、請求項19に記載の研磨方法。
  23. 前記半導体基材が窒化物層をさらに含み、前記窒化物層に対する前記少なくとも1つの酸化物層の除去選択性が10超である、請求項19に記載の研磨方法。
  24. 前記少なくとも1つの酸化物層がTEOSであり、前記半導体基材が窒化ケイ素層をさらに含み、前記窒化ケイ素層に対する前記TEOSの除去選択性が20超である、請求項23に記載の研磨方法。
JP2017070850A 2016-03-31 2017-03-31 複合粒子、その精製方法及び使用 Active JP6557273B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662316089P 2016-03-31 2016-03-31
US62/316,089 2016-03-31
US15/462,463 2017-03-17
US15/462,463 US10421890B2 (en) 2016-03-31 2017-03-17 Composite particles, method of refining and use thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019088590A Division JP2019151851A (ja) 2016-03-31 2019-05-08 複合粒子、その精製方法及び使用

Publications (2)

Publication Number Publication Date
JP2017190450A true JP2017190450A (ja) 2017-10-19
JP6557273B2 JP6557273B2 (ja) 2019-08-07

Family

ID=58464460

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017070850A Active JP6557273B2 (ja) 2016-03-31 2017-03-31 複合粒子、その精製方法及び使用
JP2019088590A Pending JP2019151851A (ja) 2016-03-31 2019-05-08 複合粒子、その精製方法及び使用

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019088590A Pending JP2019151851A (ja) 2016-03-31 2019-05-08 複合粒子、その精製方法及び使用

Country Status (8)

Country Link
US (2) US10421890B2 (ja)
EP (2) EP3498795A1 (ja)
JP (2) JP6557273B2 (ja)
KR (2) KR102145405B1 (ja)
CN (2) CN114656923A (ja)
IL (1) IL251445B (ja)
SG (2) SG10201808500PA (ja)
TW (2) TWI640614B (ja)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017197729A (ja) * 2016-04-22 2017-11-02 日揮触媒化成株式会社 シリカ系複合微粒子を含む研磨用砥粒分散液
JP2017197429A (ja) * 2016-04-22 2017-11-02 日揮触媒化成株式会社 シリカ系複合微粒子分散液、その製造方法及びシリカ系複合微粒子分散液を含む研磨用砥粒分散液
JP2019106533A (ja) * 2017-10-27 2019-06-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 複合材粒子、その精製方法及びその使用
JP2019143119A (ja) * 2017-12-14 2019-08-29 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド ポリシリコン研磨用の低ディッシングシリカ粒子の水性組成物
JP2020026532A (ja) * 2018-08-09 2020-02-20 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化物材料を研磨するための化学機械平坦化組成物及びその使用方法
JP2020065051A (ja) * 2018-09-26 2020-04-23 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー シャロートレンチアイソレーション(sti)の化学機械平坦化研磨(cmp)において酸化物/窒化物選択性を高め、酸化物トレンチのディッシングを低く均一化する方法
US10844259B2 (en) 2016-04-22 2020-11-24 Jgc Catalysts And Chemicals Ltd. Silica-based composite fine particle dispersion and method for manufacturing same
WO2020245904A1 (ja) * 2019-06-04 2020-12-10 昭和電工マテリアルズ株式会社 研磨液、分散体、研磨液の製造方法及び研磨方法
JP7373026B2 (ja) 2021-07-08 2023-11-01 エスケー エンパルス カンパニー リミテッド 半導体工程用研磨組成物及びそれを用いた研磨された物品の製造方法

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10435588B2 (en) * 2015-10-23 2019-10-08 Nitta Haas Incorporated Polishing composition
KR102606995B1 (ko) 2018-02-13 2023-11-30 삼성디스플레이 주식회사 박막 트랜지스터 기판의 제조 방법
US11180678B2 (en) 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
US11326076B2 (en) * 2019-01-25 2022-05-10 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with low abrasive concentration and a combination of chemical additives
US11608451B2 (en) * 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
TWI767355B (zh) * 2019-10-24 2022-06-11 美商慧盛材料美國責任有限公司 高氧化物移除速率的淺溝隔離化學機械平坦化組合物、系統及方法
US11254839B2 (en) 2019-12-12 2022-02-22 Versum Materials Us, Llc Low oxide trench dishing shallow trench isolation chemical mechanical planarization polishing
CN111171788A (zh) * 2020-01-02 2020-05-19 长江存储科技有限责任公司 研磨微粒及其制造方法、研磨剂
CN115698207A (zh) * 2020-05-29 2023-02-03 弗萨姆材料美国有限责任公司 用于浅槽隔离应用的低凹陷氧化物cmp抛光组合物及其制备方法
CN113150696B (zh) * 2021-03-01 2023-08-22 广州凌玮科技股份有限公司 一种用于降低硅片表面微划伤的抛光液
JP7296504B2 (ja) * 2021-04-02 2023-06-22 エスケー エンパルス カンパニー リミテッド 半導体工程用研磨組成物および研磨組成物を適用した半導体素子の製造方法
WO2023240260A1 (en) * 2022-06-10 2023-12-14 Saint-Gobain Ceramics & Plastics, Inc. Chemical mechanical planarization slurry and method of polishing a substrate

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999043761A1 (fr) * 1998-02-24 1999-09-02 Showa Denko K.K. Composition abrasive de polissage d'un dispositif semiconducteur et procede de production d'un dispositif semiconducteur afferent
WO2003054096A1 (en) * 2001-12-20 2003-07-03 Akzo Nobel N.V. Cerium oxide coated silica particles and method for production thereof
WO2004010487A1 (ja) * 2002-07-22 2004-01-29 Seimi Chemical Co., Ltd. 半導体用研磨剤、その製造方法及び研磨方法
JP2006100713A (ja) * 2004-09-30 2006-04-13 Toshiba Ceramics Co Ltd 半導体ウェーハ用研磨組成物
WO2010038617A1 (ja) * 2008-10-01 2010-04-08 旭硝子株式会社 研磨スラリー、その製造方法、研磨方法および磁気ディスク用ガラス基板の製造方法
US20120077419A1 (en) * 2009-06-05 2012-03-29 Basf Se Raspberry-type metal oxide nanostructures coated with ceo2 nanoparticles for chemical mechanical planarization (cmp)
JP2012238831A (ja) * 2011-01-25 2012-12-06 Hitachi Chem Co Ltd Cmp研磨液及びその製造方法、複合粒子の製造方法、並びに基体の研磨方法
JP2013008751A (ja) * 2011-06-22 2013-01-10 Hitachi Chem Co Ltd 洗浄液及び基板の研磨方法
JP2013208688A (ja) * 2012-03-30 2013-10-10 Kuraray Co Ltd ガラス系基材の研磨方法
JP2017178703A (ja) * 2016-03-30 2017-10-05 日揮触媒化成株式会社 シリカ系複合粒子分散液の製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
DE69621912T2 (de) 1996-05-27 2002-11-14 Nippon Denko Verfahren zur Herstellung von Silica-Ceriumoxidkompositteilchen
US6602439B1 (en) * 1997-02-24 2003-08-05 Superior Micropowders, Llc Chemical-mechanical planarization slurries and powders and methods for using same
WO2001060940A1 (en) 2000-02-16 2001-08-23 Rodel Inc Biocides for polishing slurries
US20030118824A1 (en) * 2001-12-20 2003-06-26 Tokarz Bozena Stanislawa Coated silica particles and method for production thereof
KR100560223B1 (ko) * 2002-06-05 2006-03-10 삼성코닝 주식회사 고정도 연마용 금속 산화물 분말 및 이의 제조방법
KR100599327B1 (ko) * 2004-03-12 2006-07-19 주식회사 케이씨텍 Cmp용 슬러리 및 그의 제조법
US20070218811A1 (en) * 2004-09-27 2007-09-20 Hitachi Chemical Co., Ltd. Cmp polishing slurry and method of polishing substrate
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
JP5738101B2 (ja) 2011-07-08 2015-06-17 ジャパンパイル株式会社 杭保持装置
JP5881394B2 (ja) * 2011-12-06 2016-03-09 日揮触媒化成株式会社 シリカ系複合粒子およびその製造方法
JP5811820B2 (ja) 2011-12-09 2015-11-11 新日鐵住金株式会社 鋳片の鋳造方法
JP5787745B2 (ja) 2011-12-26 2015-09-30 日揮触媒化成株式会社 シリカ系複合粒子の製造方法
US20140315386A1 (en) 2013-04-19 2014-10-23 Air Products And Chemicals, Inc. Metal Compound Coated Colloidal Particles Process for Making and Use Therefor
US8974692B2 (en) 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
JP2015169967A (ja) 2014-03-04 2015-09-28 株式会社リコー 情報処理システム、情報処理方法およびプログラム
JP6283939B2 (ja) 2014-03-25 2018-02-28 株式会社富士通ゼネラル 天井埋込型空気調和機
JP6612790B2 (ja) 2014-06-25 2019-11-27 キャボット マイクロエレクトロニクス コーポレイション 銅バリアの化学機械研磨組成物

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999043761A1 (fr) * 1998-02-24 1999-09-02 Showa Denko K.K. Composition abrasive de polissage d'un dispositif semiconducteur et procede de production d'un dispositif semiconducteur afferent
WO2003054096A1 (en) * 2001-12-20 2003-07-03 Akzo Nobel N.V. Cerium oxide coated silica particles and method for production thereof
WO2004010487A1 (ja) * 2002-07-22 2004-01-29 Seimi Chemical Co., Ltd. 半導体用研磨剤、その製造方法及び研磨方法
JP2006100713A (ja) * 2004-09-30 2006-04-13 Toshiba Ceramics Co Ltd 半導体ウェーハ用研磨組成物
WO2010038617A1 (ja) * 2008-10-01 2010-04-08 旭硝子株式会社 研磨スラリー、その製造方法、研磨方法および磁気ディスク用ガラス基板の製造方法
US20120077419A1 (en) * 2009-06-05 2012-03-29 Basf Se Raspberry-type metal oxide nanostructures coated with ceo2 nanoparticles for chemical mechanical planarization (cmp)
JP2012238831A (ja) * 2011-01-25 2012-12-06 Hitachi Chem Co Ltd Cmp研磨液及びその製造方法、複合粒子の製造方法、並びに基体の研磨方法
JP2013008751A (ja) * 2011-06-22 2013-01-10 Hitachi Chem Co Ltd 洗浄液及び基板の研磨方法
JP2013208688A (ja) * 2012-03-30 2013-10-10 Kuraray Co Ltd ガラス系基材の研磨方法
JP2017178703A (ja) * 2016-03-30 2017-10-05 日揮触媒化成株式会社 シリカ系複合粒子分散液の製造方法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10844259B2 (en) 2016-04-22 2020-11-24 Jgc Catalysts And Chemicals Ltd. Silica-based composite fine particle dispersion and method for manufacturing same
JP2017197429A (ja) * 2016-04-22 2017-11-02 日揮触媒化成株式会社 シリカ系複合微粒子分散液、その製造方法及びシリカ系複合微粒子分散液を含む研磨用砥粒分散液
JP2017197729A (ja) * 2016-04-22 2017-11-02 日揮触媒化成株式会社 シリカ系複合微粒子を含む研磨用砥粒分散液
JP2020205425A (ja) * 2017-10-27 2020-12-24 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 複合材粒子、その精製方法及びその使用
JP2019106533A (ja) * 2017-10-27 2019-06-27 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 複合材粒子、その精製方法及びその使用
JP7071452B2 (ja) 2017-10-27 2022-05-19 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 複合材粒子、その精製方法及びその使用
JP2019143119A (ja) * 2017-12-14 2019-08-29 ローム アンド ハース エレクトロニック マテリアルズ シーエムピー ホウルディングス インコーポレイテッド ポリシリコン研磨用の低ディッシングシリカ粒子の水性組成物
JP2020026532A (ja) * 2018-08-09 2020-02-20 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー 酸化物材料を研磨するための化学機械平坦化組成物及びその使用方法
JP2020065051A (ja) * 2018-09-26 2020-04-23 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー シャロートレンチアイソレーション(sti)の化学機械平坦化研磨(cmp)において酸化物/窒化物選択性を高め、酸化物トレンチのディッシングを低く均一化する方法
WO2020245904A1 (ja) * 2019-06-04 2020-12-10 昭和電工マテリアルズ株式会社 研磨液、分散体、研磨液の製造方法及び研磨方法
WO2020246471A1 (ja) * 2019-06-04 2020-12-10 昭和電工マテリアルズ株式会社 研磨液、分散体、研磨液の製造方法及び研磨方法
JPWO2020246471A1 (ja) * 2019-06-04 2021-12-09 昭和電工マテリアルズ株式会社 研磨液、分散体、研磨液の製造方法及び研磨方法
JP7259953B2 (ja) 2019-06-04 2023-04-18 株式会社レゾナック 研磨液、分散体、研磨液の製造方法及び研磨方法
JP7373026B2 (ja) 2021-07-08 2023-11-01 エスケー エンパルス カンパニー リミテッド 半導体工程用研磨組成物及びそれを用いた研磨された物品の製造方法

Also Published As

Publication number Publication date
EP3231848B1 (en) 2018-12-26
CN107267118B (zh) 2022-08-19
EP3231848A1 (en) 2017-10-18
US10421890B2 (en) 2019-09-24
US20170283673A1 (en) 2017-10-05
TWI640614B (zh) 2018-11-11
SG10201702658PA (en) 2017-10-30
EP3498795A1 (en) 2019-06-19
IL251445A0 (en) 2017-06-29
KR20190096892A (ko) 2019-08-20
KR102145405B1 (ko) 2020-08-18
US10894906B2 (en) 2021-01-19
TWI736796B (zh) 2021-08-21
JP2019151851A (ja) 2019-09-12
SG10201808500PA (en) 2018-11-29
KR20170113456A (ko) 2017-10-12
CN107267118A (zh) 2017-10-20
TW201905158A (zh) 2019-02-01
US20190359868A1 (en) 2019-11-28
JP6557273B2 (ja) 2019-08-07
TW201736567A (zh) 2017-10-16
CN114656923A (zh) 2022-06-24
IL251445B (en) 2021-07-29

Similar Documents

Publication Publication Date Title
JP6557273B2 (ja) 複合粒子、その精製方法及び使用
JP6748172B2 (ja) 複合材粒子、その精製方法及びその使用
JP7130608B2 (ja) 化学機械平坦化組成物用の複合研磨粒子及びその使用方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180525

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180612

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180911

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181212

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190108

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190508

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20190517

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190611

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190711

R150 Certificate of patent or registration of utility model

Ref document number: 6557273

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250