KR102145405B1 - 복합 입자, 이를 정제하는 방법 및 이의 용도 - Google Patents

복합 입자, 이를 정제하는 방법 및 이의 용도 Download PDF

Info

Publication number
KR102145405B1
KR102145405B1 KR1020170042123A KR20170042123A KR102145405B1 KR 102145405 B1 KR102145405 B1 KR 102145405B1 KR 1020170042123 A KR1020170042123 A KR 1020170042123A KR 20170042123 A KR20170042123 A KR 20170042123A KR 102145405 B1 KR102145405 B1 KR 102145405B1
Authority
KR
South Korea
Prior art keywords
particles
ceria
weight
less
particle size
Prior art date
Application number
KR1020170042123A
Other languages
English (en)
Other versions
KR20170113456A (ko
Inventor
홍준 초우
존 에드워드 퀸시 휴스
크리쉬나 피. 무렐라
레이날도 마리오 마차도
마크 레오나르드 오'닐
다야네쉬 찬드라칸트 탐볼리
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20170113456A publication Critical patent/KR20170113456A/ko
Application granted granted Critical
Publication of KR102145405B1 publication Critical patent/KR102145405B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • C09K3/1445Composite particles, e.g. coated particles the coating consisting exclusively of metals
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B33/00Silicon; Compounds thereof
    • C01B33/113Silicon oxides; Hydrates thereof
    • C01B33/12Silica; Hydrates thereof, e.g. lepidoic silicic acid
    • C01B33/18Preparation of finely divided silica neither in sol nor in gel form; After-treatment thereof
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01FCOMPOUNDS OF THE METALS BERYLLIUM, MAGNESIUM, ALUMINIUM, CALCIUM, STRONTIUM, BARIUM, RADIUM, THORIUM, OR OF THE RARE-EARTH METALS
    • C01F17/00Compounds of rare earth metals
    • C01F17/20Compounds containing only rare earth metals as the metal element
    • C01F17/206Compounds containing only rare earth metals as the metal element oxide or hydroxide being the only anion
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09CTREATMENT OF INORGANIC MATERIALS, OTHER THAN FIBROUS FILLERS, TO ENHANCE THEIR PIGMENTING OR FILLING PROPERTIES ; PREPARATION OF CARBON BLACK  ; PREPARATION OF INORGANIC MATERIALS WHICH ARE NO SINGLE CHEMICAL COMPOUNDS AND WHICH ARE MAINLY USED AS PIGMENTS OR FILLERS
    • C09C1/00Treatment of specific inorganic materials other than fibrous fillers; Preparation of carbon black
    • C09C1/28Compounds of silicon
    • C09C1/30Silicic acid
    • C09C1/3045Treatment with inorganic compounds
    • C09C1/3054Coating
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/463Mechanical treatment, e.g. grinding, ultrasonic treatment
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/50Agglomerated particles
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/60Particles characterised by their size
    • C01P2004/62Submicrometer sized, i.e. from 0.1-1 micrometer

Abstract

보다 낮은 평균 입자 크기 및 보다 작은 크기 분포를 갖는 복합 입자는 정제 처리를 통해 얻어진다. 정제된 복합 입자, 예를 들어, 세리아 코팅된 실리카 입자는 보다 높은 제거율; 제거율을 위한 매우 낮은 웨이퍼내 비-균일성(WWNU), 옥사이드 막의 폴리싱에 대한 낮은 디싱 및 낮은 결함을 제공하기 위해 화학적 기계적 평탄화(CMP) 조성물에서 사용된다.

Description

복합 입자, 이를 정제하는 방법 및 이의 용도{COMPOSITE PARTICLES, METHOD OF REFINING AND USE THEREOF}
관련 출원에 대한 상호참조
본 출원은 2016년 3월 31일에 출원된 미국가출원번호 제62/316,089호의 우선권 이득을 청구하며, 이러한 문헌은 전문이 본원에 참고로 포함된다.
화학적 기계적 평탄화("CMP") 폴리싱 조성물(CMP 슬러리, CMP 조성물 또는 CMP 포뮬레이션이 교대해서 사용됨)은 반도체 소자의 생산에서 사용된다. 본 발명은 규소 옥사이드 물질을 포함하는 패턴화된 반도체 웨이퍼를 폴리싱하기에 특히 적합한 정제된 복합 입자(연마 입자로서 사용됨)를 포함하는 폴리싱 조성물에 관한 것이다.
규소 옥사이드(silicon oxide)는 반도체 산업에서 유전 물질로서 널리 광범위하게 사용된다. 얕은 트렌치소자 분리(STI), 층간 유전체(ILD) CMP 및 게이트 폴리 CMP, 등과 같은 집적회로(IC) 제작 공정에서의 여러 CMP 단계들이 존재한다. 통상적인 옥사이드 CMP 슬러리는 다른 화학물질과 함께, 또는 이의 없이 연마재(abrasive)를 포함한다. 다른 화학물질은 슬러리 안정성을 개선시키기 위한 분산제, 제거율을 증가시키기 위한 촉진제(booster), 또는 제거율을 감소시키고 다른 막 상에서 정지시키기 위한 억제제, 예를 들어, STI 적용의 경우에 SiN일 수 있다.
발전된 반도체 기술에서 CMP 슬러리에 대한 요망되는 특징은 감소된 결함, 높은 제거율, 제거율에 대한 매우 낮은 웨이퍼내 비-균일성(WWNU) 및 낮은 토포그래피(topography)이다. 제거율에 대한 매우 낮은 WWNU를 갖는 것이 특히 중요하다. 보다 높은 비-균일성은 제거율인 웨이퍼 상의 영역에서 오버-폴리싱(over-polish)을 야기시키고 가장 적은 물질이 제거되는 경우에 언더-폴리싱(under-polish)을 야기시킬 것이다. 이는 반도체 제작에서 요망되지 않는 웨이퍼 표면 상에 불균일한 토포그래피를 생성시킬 것이다. 이에 따라, 상당한 CMP 공정 발달은 요망되는 균일한 제거율 프로파일을 수득하기 위한 패드(pad), 컨디셔닝(conditioning), 폴리싱 구역 압력 조절의 측면에서 요구된다.
CMP 슬러리에서 사용되는 일반적인 연마재, 예를 들어, 실리카, 알루미나, 지르코니아, 티타니아, 등 중에서, 세리아는 실리카 옥사이드에 대한 이의 높은 반응성에 대해 널리 알려져 있고, 실리카에 대한 세리아의 높은 반응성으로 인하여 가장 높은 옥사이드 제거율(RR)을 위해 STI CMP 슬러리에서 널리 사용된다.
문헌[Cook et al. (Lee M. Cook, Journal of Non-Crystalline Solids 120 (1990) 152-171)]에서는 이러한 세리아의 특별하 성질을 설명하기 위한 '화학적 절삭날(chemical tooth)' 메카니즘이 제안되었다. 이러한 메카니즘에 따르면, 세리아 입자가 규소 옥사이드 막 상에 가압될 때, 세리아는 실리카 결합을 파괴시키고, Ce-O-Si 구조를 형성시키고, 이에 따라, 표면으로부터 실리카를 분열시킨다.
CMP 산업에서 사용되는 대부분의 세리아는 소성-습식 밀링 공정으로부터 제작된다. 얻어진 세리아는 날카로운 에지(edge) 및 매우 넓은 크기 분포를 갖는다. 이는 또한, 매우 큰 "큰 입자 카운트(large particle count)"(LPC)를 갖는다. 이러한 것들 모두는 결함 및 낮은 수율, 특히, 웨이퍼를 폴리싱한 후 스크래치(scratch)에 대한 원인인 것으로 사료된다. 상이한 형태의 세리아 함유 입자, 예를 들어, 콜로이드성 세리아 또는 세리아 코팅된 실리카 입자는 또한, 이러한 당면하는 문제들을 해소하기 위한 것으로 여겨진다.
세리아 코팅된 실리카 입자는 보다 낮은 결함도(defectivity)를 갖는 규소 옥사이드 막의 높은 제거율을 달성하기 위해 특히 유용한 것으로 확인되었다(PCT/US16/12993). 제거율을 개선시키고 제거율 웨이퍼내 비-균일성(WWNU)을 제거하고 폴리싱 결함을 감소시키기 위한 또 다른 필요성이 여전히 존재한다.
본 발명은 정제된 응집된 복합 입자, 정제 방법 및 성능 요건을 달성할 수 있는 폴리싱 적용에서 정제된 복합 입자를 사용하는 방법에 관한 것이다.
이에 따라, 제거율을 위한 우수한 웨이퍼내 비-균일성 및 보다 높은 제거율 및 낮은 결함을 제공할 수 있는 CMP 조성물, 방법 및 시스템에 대한 중요한 필요성이 존재한다.
본원에는 정제된 복합 입자, 정제 방법, 및 이의 용도가 기술되어 있다.
일 양태에서, 본 발명은 단일 세리아 코팅된 실리카 입자 및 응집된 세리아 코팅된 실리카 입자를 포함하는 복합 입자로서, 여기서, 복합 입자의 99 중량% 초과는 10 이하(≤10) 내지 2 이하(≤2), 예를 들어, ≤10, ≤5, ≤4, ≤3, 및 ≤2 범위인 단일 세리아 코팅된 실리카 입자의 수를 포함한다.
다른 양태에서, 본 발명은 큰 응집물을 감소시키기 위해 단일 입자 및 응집된 입자를 포함하는 복합 입자를 정제하는 방법으로서, (1) 여과; (2) 보울 원심분리; (3) 고정각 회전 원심분리; (4) 중력 침강; (5) 소성 공정 및 밀링 공정 변형; 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나의 단계를 포함하며, 단일 입자는 나노입자에 의해 덮여진 표면을 갖는 코어 입자를 포함하며, 코어 입자는 실리카, 알루미나, 티타니아, 지르코니아, 폴리머 입자, 및 이들의 조합으로 이루어진 군으로부터 선택되며; 나노입자는 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란탄, 스트론튬 나노입자, 및 이들의 조합으로 이루어진 군의 화합물로부터 선택되는 방법이다.
또 다른 양태에서, 본 발명은
단일 세리아 코팅된 실리카 입자 및 응집된 세리아 코팅된 실리카 입자를 포함하는 복합 입자로서, 복합 입자의 99 중량% 초과가 5 이하, 바람직하게, 4 이하, 또는 더욱 바람직하게, 2 이하의 단일 세리아 코팅된 실리카 입자를 포함하는 복합 입자; 및 물을 포함하며,
임의적으로, pH 조절제; 계면활성제; 및 생물학적 성장 억제제를 포함하는 화학적 기계적 평탄화(CMP) 폴리싱 조성물로서, CMP 조성물의 pH는 약 2 내지 약 12, 바람직하게, 약 3.5 내지 약 10, 더욱 바람직하게, 약 4 내지 약 7의 범위인 화학적 기계적 평탄화(CMP) 폴리싱 조성물이다.
또 다른 양태에서, 본 발명은
a) 적어도 하나의 옥사이드 층을 폴리싱 패드와 접촉시키는 단계;
b) CMP 폴리싱 조성물을 적어도 하나의 표면으로 전달하는 단계; 및
c) 적어도 하나의 옥사이드 층을 CMP 폴리싱 조성물로 폴리싱하는 단계를 포함하는, 적어도 하나의 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판의 화학적 기계적 평탄화(CMP)를 위한 폴리싱 방법으로서,
CMP 폴리싱 조성물은 단일 세리아 코팅된 실리카 입자 및 응집된 세리아 코팅된 실리카 입자를 포함하는 복합 입자로서, 복합 입자의 99 중량% 초과는 5 이하, 바람직하게 4 이하, 또는 더욱 바람직하게, 2 이하의 단일 세리아 코팅된 실리카 입자를 포함하는 복합 입자; 물; 및 임의적으로, pH 조절제; 계면활성제; 및 생물학적 성장 억제제를 포함하며,
CMP 조성물의 pH는 약 2 내지 약 12, 바람직하게, 약 3.5 내지 약 10, 더욱 바람직하게, 약 4 내지 약 7의 범위인 폴리싱 방법이다.
또 다른 양태에서, 본 발명은
적어도 하나의 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판;
폴리싱 패드; 및
CMP 폴리싱 조성물을 포함하는 화학적 기계적 평탄화를 위한 시스템으로서,
적어도 하나의 옥사이드 층은 폴리싱 패드 및 폴리성 조성물과 접촉되며;
CMP 폴리싱 조성물은 단일 세리아 코팅된 실리카 입자 및 응집된 세리아 코팅된 실리카 입자를 포함하는 복합 입자로서, 복합 입자의 99 중량% 초과는 5 이하, 바람직하게 4 이하, 또는 더욱 바람직하게, 2 이하의 단일 세리아 코팅된 실리카 입자를 포함하는 복합 입자; 물; 및 임의적으로, pH 조절제; 계면활성제; 및 생물학적 성장 억제제를 포함하며, CMP 조성물의 pH는 약 2 내지 약 12, 바람직하게, 약 3.5 내지 약 10, 더욱 바람직하게, 약 4 내지 약 7의 범위인 화학적 기계적 평탄화를 위한 시스템이다.
pH 조절제는 소듐 하이드록사이드, 세슘 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드, 및 이들의 조합을 포함하지만, 이로 제한되지 않는다.
화학 첨가제는 유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염; 유기 설폰산 및 이의 염; 유기 포스폰산 및 이의 염; 폴리머 카복실산 및 이의 염; 폴리머 설폰산 및 이의 염; 폴리머 포스폰산 및 이의 염; 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합으로 이루어진 군으로부터 선택된 작용기를 갖는 화합물을 포함하지만, 이로 제한되지 않는다.
복합 입자는 단일 세리아 코팅된 실리카 입자 및 응집된 세리아 코팅된 실리카 입자를 포함할 수 있으며, 여기서, 복합 입자의 99 중량%는 250 nm 미만, 바람직하게, 200 nm 미만, 및 더욱 바람직하게, 190 nm 미만의 입자 크기를 갖는다.
세리아 코팅된 실리카 입자는 150 nm 미만, 바람직하게, 125 nm 미만, 또는 더욱 바람직하게, 110 nm 미만의 중간 입자 크기를 추가로 가질 수 있으며, 여기서, 중간 입자 크기는 입자 직경의 가중 평균이다.
세리아 코팅된 실리카 입자는 단결정질 세리아 나노입자에 의해 덮여진 표면을 갖는 비정질 실리카 세리아 입자이다.
붕괴력(disintegrative force) 하에서의 복합 입자의 크기 분포의 변화는 10% 미만, 바람직하게, 5% 미만, 또는 더욱 바람직하게, 2% 미만이다.
반도체 기판이 니트라이드 층을 추가로 포함할 때, CMP 폴리싱은 10 초과의 니트라이드 층에 대한 적어도 하나의 옥사이드 층의 제거 선택도를 제공한다. 규소 니트라이드 층에 대한 TEOS의 제거 선택도는 20 초과이다.
도 1은 디스크 원심분리 입자 크기 분석기에 의한 단일 세리아 코팅된 실리카 입자의 클러스터(cluster)의 입자 크기 분포를 도시한 것이다.
도 2는 다양한 입자 크기 정제 처리에 따른 입자 크기 분포의 비교를 도시한 것이다.
도 3은 세리아 코팅된 실리카 입자의 세 개의 그룹 A, B 및 C의 입자 크기 분포의 비교를 도시한 것이다.
조성물 입자
복합 입자는 1차(또는 단일) 입자 및 응집된 1차(또는 단일) 입자를 함유한다. 1차 입자는 코어 입자, 및 코어 입자의 표면을 덮는 다수의 나노입자를 갖는다.
코어 입자는 실리카, 알루미나, 티타니아, 지르코니아, 및 폴리머 입자로 이루어진 군으로부터 선택된다. 나노입자는 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란탄 및 스트론튬 나노입자의 옥사이드들로 이루어진 군으로부터 선택된다. 복합 입자의 예들 중 하나는 코어 입자로서 실리카를 그리고 나노입자로서 세리아를 갖는 것이며, 각 실리카 코어 입자는 이의 쉘을 덮는 세리아 나노입자를 갖는다. 각 실리카 입자의 표면은 세리아 나노입자에 의해 덮여진다. 실리카 베이스 입자는 비정질이며, 세리아 나노입자는 단결정질이다.
1차 입자는 비정질 실리카 입자의 표면 상에 알루미늄, 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란탄 규소, 및 스트론튬 중의 적어도 하나의 타입의 원소를 포함하는 비정질 옥사이드 층(A), 및 그 위의 지르코늄, 티탄, 철, 망간, 아연, 세륨, 이트륨, 칼슘, 마그네슘, 불소, 란탄 및 스트론튬 중으로부터 선택된 적어도 하나의 타입의 원소를 포함하는 결정질 옥사이드 층(B)을 가질 수 있다. 실리카 코어 입자를 덮는 나노입자는 또한, 표면을 일부 또는 전부 덮는 규소 함유 필름의 얇은 층을 가질 수 있다.
세리아 코팅된 실리카 입자는 임의 적합한 방법을 이용하여 제조될 수 있다. 예를 들어, 이러한 입자를 제조하는 방법은 PCT/JP2016/060535, JP20131191131, JP2013133255, JP2015-169967, 및 JP2015-183942에 기재되어 있다.
통상적으로, 세리아 코팅된 실리카 입자와 같은 복합 입자를 형성시키는 방법은 실리카 코어 입자 상에 세륨 화합물의 증착, 이후, 소성 단계 및 밀링 단계를 포함한다. 그러나, 소성 단계는 입자의 응집(agglomeration)을 야기시킨다. 응집된 입자의 수를 감소시키기 위한 방법의 예들 중 일부는 보다 낮은 소성 조건, 예를 들어, 보다 낮은 온도 또는 소성 시간, 보다 공격적인 밀링 조건, 밀링 동안 분산제의 사용, 밀링후 가공의 사용, 예를 들어, 원심분리 또는 여과, 또는 응집된 입자의 수를 감소시키는 임의 다른 기술을 사용할 것이다.
코어 입자의 표면을 덮는 나노입자의 양은, 바람직하게, 고체 중량비에 관하여 하기 범위 내에 속한다. 코어 입자의 고체 중량(a)에 대한 나노입자의 고체 중량(b)은 (b)/(a) = 0.01 내지 2, 바람직하게, 0.25 내지 1.5, 또는 가장 바람직하게, 0.5 내지 1.3이다.
코어 입자를 덮는 세리아 나노입자의 직경은 1 nm 초과, 또는 바람직하게, 10 nm 초과, 바람직하게, 13 nm 초과이다. 보다 큰 세리아 입자 직경을 갖는 경우에, 보다 높은 제거율을 가능하게 할 것이다.
코어 입자의 직겨은 10 nm 내지 500 nm, 바람직하게, 20 nm 내지 200 nm, 가장 바람직하게, 50 nm 내지 150 nm의 범위일 수 있다. 코어 입자는 나노입자 보다 더욱 크다.
통상적으로, 세리아 코팅된 실리카 입자를 형성시키는 방법은 실리카 코어 입자 상에 세륨 화합물의 증착, 이후에, 소성 단계 및 밀링 단계를 포함한다. 그러나, 소성 단계는 입자의 응집을 야기시킨다. 이러한 응집된 입자는 1차 입자의 클러스터로 이루어진다.
각 1차(또는 단일) 입자는 구형이고 크기에 있어서 균일하고, 50 내지 300 nm의 직경을 가질 수 있다.
1차(또는 단일) 입자는 응집된 입자를 형성시키기 위해 응집 조직화된 클러스터(cohesive organized cluster)로 물리적으로 결합될 수 있다. 클러스터는 2개 내지 50개의 1차(또는 단일) 입자를 함유한다.
응집된 입자는 크기에 있어서 개개의 1차 입자에서 50개의 1차 입자를 함유한 클러스터까지의 연속 분포를 갖는다.
중간 입자 크기는 입자 직경의 가중 평균이다. 예를 들어,
Figure 112017040542850-pat00001
상기 식에서, W1, W2.... Wn은 입자 직경 D1, D2,.... Dn에 의해 정의된 입자 크기 빈(bins)에서의 입자의 중량 분율이다.
응집된 입자의 크기 분포는, 개개 피크 또는 극대값(local maximum)이 클러스터의 수력학적 직경에 의해 결정되게 하는 입자 직경 프로파일에 대한 중량%로 구별된다.
응집된 입자의 크기 분포는 적합한 입자 크기 측정 기술, 예를 들어, 디스크 원심분리(DC), 동적 광산란(DLS), 단일 입자 광학적 사이징(Single Paticle Optical Sizing; SPOS), 전자 현미경을 이용함으로써 결정될 수 있다. 입자 크기 분포의 특징분석을 위한 바람직한 방법은 디스크 원심분리(DC)를 기초로 한 기술이다.
도 1은 디스크 원심분리 입자 크기 분석기에 의한 응집된 세리아 코팅된 실리카 입자의 통상적인 크기 분포를 도시한 것이다. 입자 클러스터에 대한 특징적인 피크는 또한 도 1에 도시되어 있다.
피크는 n개의 1차 입자로 구성된 각 후속 클러스터의 수력학적 직경이 하기 수학식에 의해 제공되는 패턴을 따른다:
클러스터의 직경 = 1차 입자의 직경 x na
상기 식에서, n은 클러스터에서의 1차 입자의 수이며, 1/4 < a <1/3.
응집된 입자의 수의 감소는 (1) 여과; (2) 보울 원심분리; (3) 고정각 회전 원심분리; (4) 중력 침강; 및 (5) 소성 공정 및 밀링 공정의 최적화 중 임의 하나 및 임의 조합을 사용함으로써 달성될 수 있다.
응집된 입자 수의 감소는 또한, 디스크 원심분리(DC), 동적 광산란(DLS), 단일 입자 광학적 사이징(SPOS), 전자 현미경과 같은 적합한 입자 크기 측정 기술을 이용함으로써 결정될 수 있다. 입자 크기 분포의 특징분석을 위한 바람직한 방법은 디스크 원심분리(DC)를 기초로 한 기술이다.
정제된 세리아 코팅된 실리카 입자의 다른 양태는 이러한 것이 붕괴력(disintegrative force) 하에서 붕괴하지 않는다는 것이다. 붕괴력 하에서의 입자 안정성은 포뮬레이션을 30분 동안 초음파처리하고 크기 분포의 변화를 측정함으로써 결정될 수 있다. 초음파 처리를 위한 바람직한 조건은 100W 출력에서 42KHZ 주파수로 베쓰 중의 30분 함침이다. 입자 크기 분포는 디스크 원심분리(DC) 방법 또는 동적 광산란(DLS)과 같은 임의 적합한 기술을 이용함으로써 측정될 수 있다.
초음파처리 후 크기 분포의 변화는 중간 입자 크기, 또는 D50(50 중량% 입자는 이러한 크기 보다 작고 50 중량%는 이러한 크기 보다 큼), 또는 D99(99 중량% 입자는 이러한 크기 보다 작고 1 중량%는 이러한 크기 보다 큼), 또는 임의 유사한 파라미터의 변화와 관련하여 특징분석될 수 있다.
바람직하게, 초음파처리 후 세리아 코팅된 실리카 입자의 입자 크기 분포의 변화는 예를 들어, DC 및 중간 입자 크기, D50, D75 및/또는 D99를 사용함으로써, 10% 미만, 바람직하게, 5% 미만, 또는 더욱 바람직하게, 2% 미만이다.
화학적 기계적 평탄화(CMP)
정제된 응집된 입자는 CMP 조성물(또는 CMP 슬러리, 또는 CMP 포뮬레이션)에서 연마 입자로서 사용될 수 있다.
옥사이드 필름, 예를 들어, 다양한 금속 옥사이드 필름; 및 다양한 니트라이드 필름을 폴리싱하기 위한, 일 예는 STI(얕은 트렌치소자 분리막) CMP 포뮬레이션이다. STI 포뮬레이션에서, 실리카 코팅된 세리아 복합 입자를 포함한 포뮬레이션은 규소 옥사이드 필름의 매우 높은 제거율, 및 규소 니트라이드 폴리싱 정지 필름의 매우 낮은 제거율을 제공할 수 있다. 이러한 슬러리 포뮬레이션은 열적 옥사이드, 테트라 에틸 오르쏘 실리케이트(TEOS), 고밀도 플라즈마(HDP) 옥사이드, 고종횡비 공정(HARP) 필름, 불소화된 옥사이드 필름, 도핑된 옥사이드 필름, 유기실리케이트 유리(OSG) 저-K 유전체 필름, 스핀-온 유리(Spin-On Glass; SOG), 폴리머 필름, 유동성 화학적 증기 증착(CVD) 필름, 광학적 유리, 디스플레이 유리를 포함하지만, 이로 제한되지 않는 다양한 필름 및 물질을 폴리싱하기 위해 사용될 수 있다.
포뮬레이션은 또한, 스톱-인-필름(stop-in-film) 적용에서 사용될 수 있으며, 여기서, 토포그래피가 제거된 직후에 폴리싱이 정지되며, 평평한 표면이 달성된다. 대안적으로, 이러한 포뮬레이션은 벌크 필름을 폴리싱하고 스톱퍼 층에서 정지시키는 것을 포함하는 적용에서 사용될 수 있다. 이러한 포뮬레이션은 얕은 트렌치소자 분리막(STI), 층간 유전체(ILD) 폴리시, 금속간 유전체(IMD) 폴리시, 실리콘관통 비아(TSV) 폴리시, 폴리-Si 또는 비정질-Si 필름 폴리싱, SiGe 필름, Ge 필름 및 III-V 반도체 필름을 포함하지만, 이로 제한되지 않는 다양한 적용에서 사용될 수 있다.
포뮬레이션은 또한, 임의 다른 적용, 예를 들어, 유리 폴리싱 또는 태양 웨이퍼 가공 또는 웨이퍼 그라인딩에서 사용될 수 있으며, 여기서, 높은 제거율이 요구된다.
특정 구체예에서, 폴리싱 포뮬레이션은 160 옹스트롱/min 미만의 규소 니트라이드 및 폴리-Si 필름의 폴리시 속도를 갖는 반면, 2000 옹스트롱/min 초과의 폴리시 속도로 규소 옥사이드 필름을 폴리싱하기 위해 사용될 수 있다.
일부 다른 구체예에서, 규소 옥사이드 필름과 폴리-Si 필름 간의 제거율 선택도는 1:4 내지 4:1일 수 있다.
CMP 슬러리에서 큰 수의 1차 입자를 갖는 클러스터의 수를 감소시킴으로써, 상당한 및 예상치 못한 개선은 높은 제거율, 제거율에 대한 매우 낮은 웨이퍼내(WWNU), 평평한 제거율 프로파일, 낮은 디싱(dishing) 및 낮은 결함과 관련하여 보여질 수 있다.
일 구체예에서, CMP 폴리싱 조성물은 250 nm 미만 또는 바람직하게, 200 nm 미만의 D99를 갖는 세리아 코팅된 실리카 입자를 포함하며, 여기서, D99는 디스크 원심분리(DC) 입자 크기 분석에 의해 측정된 바와 같은 입자 크기 분포를 기초로 하여, 전체 입자의 99 중량%가 D99 보다 작은 입자 크기를 가지고 전체 입자의 1%가 D99 보다 큰 입자 크기를 갖는 입자 크기 한계치로서 정의된다.
다른 구체예에서, CMP 폴리싱 조성물은 디스크 원심분리 입자 크기 분석에 의해 측정된 바와 같은 중간 입자 크기가 150 nm 미만, 또는 바람직하게, 125 nm 미만, 또는 더욱 바람직하게, 110 nm 미만인 세리아 코팅된 실리카 입자를 포함한다.
다른 구체예에서, CMP 폴리싱 조성물은 디스크 원심분리 입자 크기 분석에 의해 측정된 바와 같은 중간 입자 크기가 150 nm 미만, 또는 바람직하게, 125 nm 미만, 또는 더욱 바람직하게, 110 nm 미만이고 D99가 250 nm 미만 또는, 바람직하게, 200 nm 미만인 세리아 코팅된 실리카 입자를 포함한다.
다른 구체예에서, CMP 폴리싱 조성물은 디스크 원심분리 입자 크기 분석에 의해 측정된 바와 같은 중간 입자 크기가 150 nm 미만, 또는 바람직하게, 125 nm 미만, 또는 더욱 바람직하게, 110 nm 미만이고 D99가 250 nm 미만 또는, 바람직하게, 200 nm 미만이고 붕괴력 하에서 10% 미만의 입자의 크기 분포 변화를 나타내는 세리아 코팅된 실리카 입자를 포함한다.
다른 구체예에서, CMP 폴리싱 조성물은 전체 입자의 1 중량% 미만이 5개 이상의 1차 세리아 코팅된 실리카 입자를 포함하는 응집물을 갖는 세리아 코팅된 실리카 입자를 포함한다. 1차 세리아 코팅된 실리카 입자는 단일, 비-응집된 세리아 코팅된 실리카 입자이다.
특정 구체예에서, CMP 포뮬레이션은 적어도 5개 입자를 포함하는 다수의 응집체 클러스터가 디스크 원심분리(DC)에 의해 측정하는 경우에 입자의 총 중량의 1 중량% 미만을 구성하거나, 바람직하게, 적어도 4개 입자를 포함하는 다수의 응집체 클러스터가 디스크 원심분리(DC)에 의해 측정하는 경우에 입자의 총 중량의 1 중량% 미만을 구성하거나, 더욱더 바람직하게, 적어도 3개 입자를 포함하는 다수의 응집체 클러스터가 디스크 원심분리(DC)에 의해 측정하는 경우에 입자의 총 중량의 1 중량% 미만을 구성하도록 입자 정제된 세리아 코팅된 실리카 입자를 포함한다. 가장 바람직한 구체예에서, 전체 입자 분포는 적어도 2개 입자를 포함하는 다수의 응집체 클러스터가 디스크 원심분리(DC)에 의해 측정하는 경우에 입자의 총 중량의 1 중량% 미만을 구성하는, 비-응집된 1차 복합 입자를 대부분 포함할 것이다.
일부 구체예에서, CMP 포뮬레이션은 2 이하(≤2)의 1차 입자를 포함하는 다수의 응집체 클러스터가 디스크 원심분리(DC)에 의해 측정하는 경우에 입자의 총 중량의 85 중량% 초과를 구성하거나, 더욱 바람직하게, 2 이하의 1차 입자를 포함하는 다수의 응집체 클러스터가 디스크 원심분리(DC)에 의해 측정하는 경우에 입자의 총 중량의 90 중량% 초과를 구성하거나, 더욱더 바람직하게, 2 이하의 1차 입자를 포함하는 다수의 응집체 클러스터가 디스크 원심분리(DC)에 의해 측정하는 경우에 입자의 총 중량의 95 중량% 초과를 구성하도록 입자 정제된 세리아 코팅된 실리카 입자를 포함한다. 가장 바람직한 정제에서, 전체 입자 분포는 2 이하의 1차 입자를 포함하는 다수의 응집체 클러스터가 디스크 원심분리(DC)에 의해 측정하는 경우에 입자의 총 중량의 99 중량% 초과를 구성하는 비-응집된 1차 복합 입자를 대부분 포함할 것이다.
일부 구체예에서, 코어 입자 크기에 대한 D99의 비율(투과전자 현미경에 의해 코어 입자의 평균 직경을 측정함으로써 측정됨)은 더욱 바람직하게, 3 미만, 또는 가장 바람직하게, 2 미만이다.
다른 구체예에서, CMP 슬러리 포뮬레이션은 정제 후 디스크 원심분리 입자 크기에 의해 측정된 바와 같은 중간 입자 크기가 정제되지 않은 입자에 비해 적어도 25 nm 또는 더욱 바람직하게, 35 nm 초과 감소되도록 정제된 세리아 코팅된 실리카 입자를 포함한다.
다른 구체예에서, 입자 크기 분포 정제 방법은 CMP 포뮬레이션에서 사용되는 세리아 코팅된 실리카 입자에서 큰 응집물의 수를 감소시키기 위해 사용된다. 입자 크기 분포 정제 방법은 세리아 코팅된 실리카 입자의 원심분리, 중력 침강, 및 소성 및 밀링의 최적화를 포함한다.
다른 구체예에서, 본원에는 적어도 하나의 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판; 폴리싱 패드; 및 D99가 250 nm 미만 또는 바람직하게, 200 nm 미만인 세리아 코팅된 실리카 입자를 포함하는 폴리싱 조성물을 포함하는, 화학적 기계적 평탄화를 위한 시스템이 기술된다.
다른 구체예에서, 본원에는 적어도 하나의 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판; 폴리싱 패드; 및 D99가 250 nm 미만 또는 바람직하게, 200 nm 미만이고 붕괴력 하에서 10% 미만의 입자의 크기 분포 변화를 나타내는 세리아 코팅된 실리카 입자를 포함하는 폴리싱 조성물을 포함하는, 화학적 기계적 평탄화를 위한 시스템이 기술된다.
다른 구체예에서, 본원에는 적어도 하나의 규소 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판; 폴리싱 패드; 및 전체 입자의 1 중량% 미만이 4개 이상 또는 5개 이상의 1차 입자(단일, 비-응집된 세리아 코팅된 실리카 입자)를 포함하는 응집물을 갖는 세리아 코팅된 실리카 입자를 포함하는 폴리싱 조성물을 포함하는, 화학적 기계적 평탄화를 위한 시스템이 기술된다.
다른 구체예에서, 본원에는 적어도 하나의 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판; 폴리싱 패드; 및 디스크 원심분리기 입자 크기 분석에 의해 측정하는 경우 중간 입자 크기가 150 nm 미만 또는 바람직하게, 125 nm 미만 또는 더욱 바람직하게, 110 nm 미만인 세리아 코팅된 실리카 입자를 포함하는 폴리싱 조성물을 포함하는, 화학적 기계적 평탄화를 위한 시스템이 기술된다.
다른 구체예에서, 본원에는 적어도 하나의 규소 옥사이드 층을 갖는 적어도 하나의 표면을 포함하는 반도체 기판; 폴리싱 패드; 및 디스크 원심분리기 입자 크기 분석에 의해 측정하는 경우 중간 입자 크기가 150 nm 미만 또는 바람직하게, 125 nm 미만 또는 더욱 바람직하게, 110 nm 미만이고 D99가 250 nm 미만 또는 바람직하게, 200 nm 미만인 세리아 코팅된 실리카 입자를 포함하는 폴리싱 조성물을 포함하는, 화학적 기계적 평탄화를 위한 시스템이 기술된다.
다른 양태는 폴리싱 힘 하에서 붕괴하지 않는 세리아 코팅된 실리카 입자의 용도이다. 입자가 폴리싱 힘(즉, 붕괴력)의 작용 하에서 파괴하지 않고 본래 입자 크기의 특징을 유지시키는 경우에, 제거율이 높게 유지될 것이라고 가정된다. 다른 한편으로, 입자가 폴리싱 힘 하에서 붕괴하는 경우에, 제거율은 높은 제거율의 원인이 되는 표면 상의 세리아 나노-입자가 느슨하게 될 수 있기 때문에 제거율이 감소될 것이다. 입자의 파괴는 또한, 스크래칭 결함에 잠재적으로 요망되지 않는 영향을 미치는 불규칙한 형상의 입자를 제공할 것이다.
CMP 슬러리 포뮬레이션에서 이러한 안정한 입자의 사용은 필름 물질 제거를 위한 폴리싱 힘의 더욱 효과적인 사용을 허용할 것이고, 또한, 스크래칭 결함에 기여하는 임의 불규칙한 형상의 발생을 방지할 것이다.
발전된 CMP 적용이 폴리싱 후 유전체 표면 상에 매우 낮은 수준의 금속, 예를 들어, 소듐을 필요로 하기 때문에, 슬러리 포뮬레이션에서 매우 낮은 미량 금속, 특히 소듐을 갖는 것이 요망된다. 특정의 바람직한 구체예에서, 포뮬레이션은 포뮬레이션 중의 입자의 각 중량%에 대하여, 5 ppm 미만, 더욱 바람직하게, 1 ppm 미만, 가장 바람직하게, 0.5 ppm 미만의 소듐 불순물 수준을 갖는 세리아 코팅된 실리카 입자를 포함한다.
CMP 조성물은 연마 입자로서의 정제된 복합 입자, CMP 조성물의 pH를 최적화된 pH 조건으로 조절하기 위해 사용되는 pH 조절제; 폴리시 디자인된 필름/정지 층의 제거율을 향상/억제시키기 위한 적합한 화학 첨가제; 및 잔부의 물을 포함한다.
연마재는 0.01 중량% 내지 20 중량%, 바람직하게, 0.05 중량% 내지 5 중량%, 더욱 바람직하게, 약 0.1 중량% 내지 약 1 중량%의 양으로 존재한다.
화학 첨가제는, 유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염; 유기 설폰산 및 이의 염; 유기 포스폰산 및 이의 염; 폴리머 카복실산 및 이의 염; 폴리머 설폰산 및 이의 염; 폴리머 포스폰산 및 이의 염; 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합으로 이루어진 군으로부터 선택된 작용기를 갖는 화합물을 포함하지만, 이로 제한되지 않는다.
화학 첨가제의 양은 배리어 CMP 조성물의 총 중량에 대해 약 0.1 ppm(또는 0.000001 중량%) 내지 0.5 중량%의 범위이다. 바람직한 범위는 약 200 ppm(또는 0.02 중량%) 내지 0.3 중량%이며, 더욱 바람직한 범위는 약 500 ppm(또는 0.05 중량%) 내지 0.15 중량%이다.
pH-조절제는 소듐 하이드록사이드, 세슘 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드(예를 들어, 테트라메틸암모늄 하이드록사이드) 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는다.
pH-조절제의 양은 CMP 조성물의 총 중량에 대해 약 0.0001 중량% 내지 약 5 중량%의 범위이다. 바람직한 범위는 약 0.0005 중량% 내지 약 1 중량%이며, 더욱 바람직한 범위는 약 0.0005 중량% 내지 약 0.5 중량%이다.
CMP 조성물의 pH는 2 내지 약 12; 바람직하게, 약 3.5 내지 약 10; 더욱 바람직하게, 약 4 내지 약 7의 범위이다.
얕은 트렌치소자 분리막(STI) 또는 3D-NAND 소자용 옥사이드 폴리시와 같은 특정 CMP 적용에 대하여, 옥사이드 라인 특성에서 디싱을 감소시킬 뿐만 아니라 규소 니트라이드의 스톱핑 층의 손실을 감소시키기 위해 바람직하게, 3 내지 8의 범위, 또는 가장 바람직하게, 4 내지 7의 범위의 CMP 포뮬레이션의 pH를 사용하여 폴리싱하는 것이 요망될 수 있다. 배리어 금속 폴리싱과 같은 특정 적용에 대하여, 요망되는 pH 범위는 5 내지 12, 또는 더욱 바람직하게, 8 내지 11일 수 있다.
CMP 조성물은 계면활성제, 또는 계면활성제들의 혼합물을 포함할 수 있다. 계면활성제는 a) 비이온성 계면활성제; b) 음이온성 계면활성제; c) 양이온성 계면활성제; d) 양쪽성 계면활성제; 및 이들의 혼합물을 포함하는 군으로부터 선택될 수 있다.
비이온성 계면활성제는 장쇄 알코올, 에톡실화된 알코올, 에톡실화된 아세틸렌 디올 계면활성제, 폴리에틸렌 글리콜 알킬 에테르, 프로필렌 글리콜 알킬 에테르, 글루코사이드 알킬 에테르, 폴리에틸렌 글리콜 옥틸페닐 에테르, 폴리에틸렌 글리콜 알킬프게닐 에테르, 글리세롤 알킬 에스테르, 폴리옥시에틸렌 글리콜 소르비톤 알킬 에스테르, 소르비톤 알킬 에스테르, 코카미드 모노에탄올 아민, 코카미드 디에탄올 아민 도데실 디메틸아민 옥사이드, 폴리에틸렌 글리콜 및 폴리프로필렌 글리콜의 블록 코폴리머, 폴리에톡실화된 탈로우 아민, 플루오로계면활성제를 포함하지만, 이로 제한되지 않는 소정 범위의 화학적 타입들로부터 선택될 수 있다. 계면활성제의 분자량은 수백 내지 1백만 이상의 범위일 수 있다. 이러한 물질의 점도는 또한, 매우 광범위한 분포를 지닌다.
음이온성 계면활성제는 적합한 소수성 테일을 갖는 염, 예를 들어, 알킬 카복실레이트, 알킬 폴리아크릴 염, 알킬 설페이트, 알킬 포스페이트, 알킬 바이카복실레이트, 알킬 바이설페이트, 알킬 바이포스페이트, 예를 들어, 알콕시 카복실레이트, 알콕시 설페이트, 알콕시 포스페이트, 알콕시 바이카복실레이트, 알콕시 바이설페이트, 알콕시 바이포스페이트, 예를 들어, 치환된 아릴 카복실레이트, 치환된 아릴 설페이트, 치환된 아릴 포스페이트, 치환된 아릴 바이카복실레이트, 치환된 아릴 바이설페이트, 치환된 아릴 바이포스페이트 등을 갖는 염을 포함하지만, 이로 제한되지 않는다. 이러한 타입의 표면 습윤제에 대한 반대 이온은 칼륨, 암모늄 및 다른 양이온을 포함하지만, 이로 제한되지 않는다. 이러한 음이온성 표면 습윤제의 분자량은 수백 내지 수십만의 범위이다.
양이온성 표면 습윤제는 주요 부분의 분자 프레임 상에 양성 순전하를 지닌다. 양이온성 계면활성제는 통상적으로 소수성 사슬 및 양이온성 전하 중심, 예를 들어, 아민, 4차 암모늄, 벤지알코늄 및 알킬피리디늄 이온을 포함하는 분자의 할라이드이다.
또한, 다른 양태에서, 계면활성제는 상대적인 반대 이온과 함께, 주요 분자 사슬 상에 양(양이온성) 전하 및 음(음이온성) 전하 둘 모두를 지니는 양쪽성 표면 습윤제일 수 있다. 양이온성 부분은 1차, 2차, 또는 3차 아민 또는 4차 암모늄 양이온을 기초로 한 것이다. 음이온성 부분은 더욱 가변적일 수 있는데, 술타인 CHAPS (3-[(3-콜아미도프로필)디메틸암모니오]-1-프로판설포네이트) 및 코카미도프로필 하이드록시술타인에서와 같이, 설포네이트를 포함할 수 있다. 베타인, 예를 들어, 코카미도프로필 베타인은 암모늄과 함께 카복실레이트를 갖는다. 양쪽성 계면활성제들 중 일부는 아민 또는 암모늄과 함께 포스페이트 음이온, 예를 들어, 인지질 포스파티딜세린, 포스파티딜에탄올아민, 포스파티딜콜린, 및 스핀고미엘린을 가질 수 있다.
계면활성제의 예는 또한, 도데실 설페이트 소듐 염, 소듐 라우릴 설페이트, 도데실 설페이트 암모늄 염, 2차 알칸 설포네이트, 알코올 에톡실레이트, 아세틸렌 계면활성제, 및 이들의 임의 조합을 포함하지만, 이로 제한되지 않는다. 적합한 상업적으로 입수 가능한 계면활성제의 예는 Dow Chemicals에 의해 제작된 TRITON™, TergitolTM, DOWFAXTM 패밀리의 계면활성제, 및 Air Products and Chemicals에 의해 제작된 SUIRFYNOL™, DYNOLTM, ZetasperseTM, NonidetTM, 및 TomadolTM 계면활성제 패밀리읜 다양한 계면활성제를 포함한다. 계면활성제들 중 적합한 계면활성제는 또한, 에틸렌 옥사이드(EO) 및 프로필렌 옥사이드(PO) 기를 포함하는 폴리머를 포함할 수 있다. EO-PO 폴리머의 예에는 BASF Chemicals로부터의 TetronicTM 90R4가 있다.
분산제 및/또는 습윤제의 기능을 갖는 다른 계면활성제는 음이온성 또는 양이온성 또는 비이온성 또는 쯔비터이온성 특징을 가질 수 있는 폴리머 화합물을 포함하지만, 이로 제한되지 않는다. 예로는 작용기, 예를 들어, 아크릴산, 말레산, 설폰산, 비닐산, 에틸렌 옥사이드, 등을 함유한 폴리머/코폴리머가 있다.
계면활성제의 양은 CMP 조성물의 총 중량에 대해 약 0.0001 중량% 내지 약 10 중량%의 범위이다. 바람직한 범위는 약 0.001 중량% 내지 약 1 중량%이며, 더욱 바람직한 범위는 약 0.005 중량% 내지 약 0.1 중량%이다.
포뮬레이션은 또한, 음이온성 또는 양이온성 또는 비이온성 기, 또는 이러한 기들의 조합을 포함할 수 있는 수용성 폴리머를 포함할 수 있다. 폴리머/코폴리머는 1,000 초과, 바람직하게, 10,000 내지 4,000,000; 및 더욱 바람직하게, 50,000 내지 2,000,000의 범위의 분자량을 갖는다. 폴리머는 폴리(아크릴산), 폴리(메타크릴산), 폴리(2-아크릴아미도-2-메틸-1-프로판설폰산, 카복시메틸 셀룰로오스, 메틸 셀룰로오스, 하이드록시프로필 메틸 셀룰로오스, 폴리-(1-비닐피롤리돈-코-2-디메틸아미노에틸 메타크릴레이트)를 포함하지만, 이로 제한되지 않는 폴리머들의 군으로부터 선택될 수 있다. CMP 포뮬레이션에서 폴리머 농도는 0.001 중량% 내지 5 중량% 또는 더욱 바람직하게, 0.005 중량% 내지 2 중량% 또는 가장 바람직하게, 0.01 중량% 내지 1 중량% 범위일 수 있다.
킬레이트제, 킬레이트화 리간드는 또한, 특히 금속성 필름의 폴리싱을 포함하는 적용에서 금속 양이온에 대한 킬레이트화 리간드의 친화력을 향상시키기 위해 사용될 수 있다. 킬레이트제는 또한, 제거율에서 패드 착색(pad staining) 및 불안정성을 야기시키는 패드 상에 금속 이온의 축적을 방지하기 위해 사용될 수 있다. 적합한 킬레이트제, 또는 킬레이트화 리간드는 예를 들어, 벤젠설폰산, 4-톨릴 설폰산, 2,4-디아미노-벤조설폰산, 등, 및 또한, 비-방향족 유기산, 예를 들어, 이타콘산, 말산, 말론산, 타르타르산, 시트르산, 옥살산, 글루콘산, 락트산, 만델산, 또는 이들의 염을 포함하지만, 이로 제한되지 않는다. 킬레이트제, 또는 킬레이트화 리간드의 양은 배리어 CMP 조성물의 총 중량에 대해 약 0.01 중량% 내지 약 3.0 중량%, 바람직하게, 약 0.4 중량% 내지 약 1.5중량%의 범위이다.
폴리싱 조성물은 금속 폴리싱 적용을 위한 부식 억제제를 추가로 포함할 수 있다. 적합한 부식 억제제는 벤조트리아졸(BTA) 또는 BTA 유도체, 3-아미노-1,2,4-트리아졸, 3,5-디아민-1,2,4-트리아졸, 다른 트리아졸 유도체, 및 이들의 조합을 포함하지만, 이로 제한되지 않는다.
폴리싱 조성물ㄹ은 산화 시약 또는 산화제를 포함한다. 산화제는 임의 적합한 산화제일 수 있다. 적합한 산화제는 적어도 하나의 퍼옥시 기(O)를 포함하는 하나 이상의 퍼옥시-화합물을 포함하지만, 이로 제한되지 않는다. 적합한 퍼옥시-화합물은 예를 들어, 퍼옥사이드, 퍼설페이트(예를 들어, 모노퍼설페이트 및 디퍼설페이트), 퍼카보네이트, 및 이들의 산, 및 이들의 염, 및 이들의 혼합물을 포함하지만, 이로 제한되지 않는다. 다른 적합한 산화제는 예를 들어, 산화된 할라이드(예를 들어, 용데이트, 퍼요오데이트, 및 이들의 산, 및 이들의 혼합물, 등), 과붕산, 퍼보레이트, 퍼카보네이트, 퍼옥시산(예를 들어, 과아세트산, 과벤조산, 이들의 염, 이들의 혼합물, 등), 퍼망가네이트, 세륨 화합물, 페리시아나이드(예를 들어, 칼륨 페리시아나이드), 이들의 혼합물, 등을 포함한다.
CMP 조성물은 저장 동안 박테리아 및 진균 성장을 방지하기 위해 생물학적 성장 억제제 또는 보존제를 포함할 수 있다.
생물학적 성장 억제제는 테트라메틸암모늄 클로라이드, 테트라에틸암모늄 클로라이드, 테트라프로필암모늄 클로라이드, 알킬벤질디메틸암모늄 클로라이드, 및 알킬벤질디메틸암모늄 하이드록사이드(여기서, 알킬 사슬은 1개 내지 약 20개의 탄소 원자 범위임), 소듐 클로라이트, 및 소듐 하이포클로라이트를 포함하지만, 이로 제한되지 않는다.
상업적으로 입수 가능한 보존제들 중 일부는 Dow Chemicals로부터의 KATHONTM 및 NEOLENETM 제품 패밀리, 및 Lanxess로부터의 PreventolTM 패밀리를 포함한다. 미국특허번호 제5,230,833호(Romberger et al.) 및 미국특허출원번호 제US 20020025762호에서 더욱 기재되어 있다. 이러한 문헌의 내용은 전문이 기술되어 있는 바와 같이 본원에 참고로 포함된다.
포뮬레이션은 농축물로 제조되고 사용 포인트에서 희석될 수 있다. 대안적으로, 포뮬레이션은 2개 이상의 다수의 성분들로 제조되고, 사용 포인트에서 혼합될 수 있다.
실시예
Dow Corporation에 의해 공급되는 폴리싱 패드 IC1010 패드를 CMP 공정을 위해 사용하였다.
TEOS: 전구체로서 테트라에틸오르쏘실리케이트를 사용하여 화학적 증기 증착(CVD)에 의한 옥사이드 필름
HDP: 고밀도 플라즈마(HDP) 기술에 의해 제조된 옥사이드 필름
SiN 필름 - 규소 니트라이드 필름
파라미터:
Å: 옹스트롱(들) - 길이 단위
BP: 역압, psi 단위
CMP: 화학적 기계적 평탄화 = 화학적 기계적 폴리싱
CS: 캐리어 속도(carrier speed)
DF: 하향력: CMP 동안 적용되는 압력, psi 단위
min: 분(들)
ml: 밀리리터(들)
mV: 밀리볼트(들)
psi: 제곱 인치 당 파운드
PS: 폴리싱 툴(polishing tool)의 압반 회전 속도(platen rotational speed), rpm(분당 회전수(들)(revolution(s) per minute))
SF: 폴리싱 조성물 흐름, ml/min
제거율 및 제거율 선택도
제거율(RR) = (폴리싱 전 필름 두께 - 폴리싱 후 필름 두께)/폴리싱 시간
B에 대한 A의 제거율 선택도 = (A의 RR) / (B의 RR)
달리 명시하지 않는 한, 모든 백분율은 중량 백분율이다.
입자 크기 분포 측정을 디스크 원심분리 입자 크기 분석기(CPS Instruments로부터의 DC24000 UHR)를 이용하여 수행하였다. 입자 크기 분포 곡선을, 입자의 조성물을 기초로 하여 계산하여 복합 입자의 입자 크기 밀도가 3.64 gm/cm3라는 가정을 기초로 하여 형성시켰다.
후속 실시예에서의 슬러리 포뮬레이션은 슬러리 포뮬레이션의 잔부로서 물을 사용한다.
실시예 1. 복합 입자 정제
20 중량%의 세리아 코팅된 실리카 입자(CPOP-20) 분산물을 JGC C&C로부터 획득하였다. 투과전자 현미경(TEM)에 의한 평균 직경 측정에 의해 측정된 바와 같은 코어 실리카 입자의 입자 크기는 대략 100 nm이었다. 평균 입자 직경 측정에 의해 측정된 세리아 나노입자 크기는 대략 13 nm이었다.
입자 분산물을 두 가지 방법, 즉 (1) 여과; 및 (2) 고정각 회전 원심분리를 이용하여 정제하였다.
분산물을 0.1 ㎛ 막으로 통과시킴으로써 여과를 수행하였다.
고정각 회전 원심분리(FARC)를 1000 RPM 속도에서 1시간 동안 수행하였다.
고정 각 회전 원심분리에서의 한 조건(RE2003) 하에서, 1 리터 세리아 분산물을 원심분리하고, 상부 30% 분획(300 밀리리터)을 사용을 위해 추출하였다.
고정각 회전 원심분리에서 다른 조건(RE2004) 하에서, 1 리터 세리아 분산물을 원심분리하고, 상부 40% 분획(400 밀리리터)을 사용을 위해 추출하였다.
입자 크기 분포를 도 2에 나타내었다.
표 1: 입자 크기 분포
Figure 112017040542850-pat00002
표 1은 여과를 이용하여 본래 CPOP-20(미처리)으로부터의 입자 크기 분포, 또는 디스크 원심분리기 입자 크기 분석기(CPS Instruments로부터의 DC24000 UHR)(RE2003 및 RE2004)에 의해 측정된 바와 같은 FARC를 요약한 것이다.
도 2 및 표 1로부터, RE2003 및 RE2004 처리가 MPS, D50, D75 및 D99에서의 급격한 감소를 야기시킨다는 것이 명확하다. RE2003 및 RE2004 처리에 대하여, MPS를 155 nm에서 <106 nm로의 감소를 야기시켰다.
큰 크기의 응집물 입자는 또한, D99가 RE2003의 경우 287.5 nm에서 166 nm 미만까지, RE2004의 경우 182 nm 미만까지 감소로부터 입증된 바와 같이 급격하게 감소되었다.
여과는 입자 크기 분포에 대해 최소 영향을 갖는다.
입자 크기 분포를 또한, 1차 입자의 수와 관련하여 입자 클러스터의 분포를 계산하기 위해 사용하였다.
표 2는 FARC 처리 전 및 후에 입자 클러스터의 분포를 요약한 것이다.
표 2에 나타낸 바와 같이, FARC 처리가 입자 클러스터의 크기를 급격하게 감소시킨다는 것이 명백하다. FARC 샘플에서 발견된 대부분의 클러스터는 4개 이하(≤4)의 수의 1차 입자를 갖는다.
표 2: 원심분리 처리 후 입자 클러스터 분포
Figure 112017040542850-pat00003
실시예 2. 정제된 복합 입자를 사용한 CMP
실시예 1에 기술된 세리아 분산물, 본래 CPOP-20(미처리됨), 여과를 사용한 후 정제된 CPOP-12, 및 FARC를 CMP 포뮬레이션에서 사용하였다.
CMP 포뮬레이션을 0.75 중량% 세리아 코팅된 실리카 입자, 0.077 중량% 암모늄 폴리아크릴레이트(분자량 15000 내지 18000)로 포뮬레이션하였다.
CMP 포뮬레이션의 pH를 암모늄 하이드록사이드를 이용하여 5까지 조절하였다.
TEOS 웨이퍼를 Applied MaterialsTM로부터의 ReflexionTM LK 폴리셔(polisher) 상에서 폴리싱하였다. Dow Chemicals로부터의 IC1010TM 패드를 폴리싱을 위해 사용하였다. 압반 속도(PS)는 103 RPM이었으며, 헤드 속도(HS)는 93 RPM이었다. 폴리싱 동안 웨이퍼를 가로지르는 균일한 하향력을 제공하기 위해 5개 구역 압력 파라미터(RR/Z1/Z2/Z3/Z4/Z5)를 11.60/4.70/4.70/4.70/4.70/4.70 psi에서 설정하였다.
CMP 포뮬레이션을 이용한 제거율 및 웨이퍼내 제거율 비-균일성(WWNU (%))은 표 3에 요약되어 있다.
표 3: 제거율 및 웨이퍼내 제거율 비-균일성(WWNU (%))에 대한 입자 정제의 효과
Figure 112017040542850-pat00004
표 3에는 중간 입자 크기 및 보다 작은 크기 분포를 갖는 FARC 처리로부터 얻어진 RE2003이 예상치 못하게 높은 제거율을 예상치 못하게 제공되고 동시에, 웨이퍼 표면을 가로질러 매우 평평한 제거율 분포를 제공한다는 것을 나타낸다.
실시예 3. 정제된 복합 입자를 사용한 CMP
CMP 슬러리 포뮬레이션을 실시예 1에 기술된 바와 동일한 방식으로 제조하고 시험하였다. 이러한 포뮬레이션의 pH를 6까지 조절하였다. 추가적으로, TEOS 웨이퍼를 KLA-Tencor로부터의 AIT- XPTM 결함 계측학 툴(AIT- XPTM defect metrology tool)로 분석하였다. 실시예 2와 유사한 폴리싱 절차를 이러한 시험에서 이용하였다.
표 4는 이러한 포뮬레이션을 위한 제거율 및 결함률 데이타를 요약한 것이다.
표 4: 제거율 및 웨이퍼내 제거율 비-균일성에 대한 입자 정제의 효과
Figure 112017040542850-pat00005
이러한 결과는, 제거율 및 비-균일성에 대한 감소된 입자 크기의 유익한 효과를 확인한 것이다. 또한, 이러한 결과는 결함에서의 상당한 감소에 대한 감소된 입자 크기의 유익한 효과를 나타내었다.
실시예 4
세리아 코팅된 실리카 입자의 세 가지 그룹 A, B 및 C를 다양한 입자 크기 분포 정제 기술에 의해 수득하였다. 세리아 코팅된 실리카 입자는 코어 실리카 및 코어 입자를 덮는 세리아 나노-입자와 관련하여 유사한 복합 입자 특징을 갖는다.
표 5는 세리아 코팅된 실리카 입자의 그러한 세 가지 그룹으로부터의 4개의 변이체의 입자 크기 분포 특징을 요약한 것이다.
표 5: 입자 크기 분포 요약
Figure 112017040542850-pat00006
도 3은 세리아 코팅된 실리카 입자의 세 가지 그룹의 입자 크기 분포를 플롯팅한 것이다. 입자 크기 분포 B는 보다 낮은 MPS, D50, D75 및 D99 입자 크기와 함께 매우 좁았다. 입자 크기 분포 B에서 관찰 가능한 단지 두 개의 피크가 존재하였는데, 이는 대부분의 입자가 2개 이하(≤2) 복합 입자를 포함하는 클러스터를 지시하는 것이다. 반대로, 입자 크기 분포 A 및 입자 크기 분포 C는 4개 이상의 피크를 나타내었는데, 이는 4개 이상의 복합 입자의 클러스터로 존재하는 실질적인 수의 입자가 존재한다는 것을 지시한다.
CMP 슬러리 포뮬레이션을 0.5 중량%의 세리아 코팅된 실리카 입자 A, B 및 C로 제조하였다. 이러한 포뮬레이션을 암모늄 하이드록사이드를 이용하여 pH 조절하였다.
폴리싱을 Applier MaterialsTM로부터의 MirraTM 폴리셔 상의 200 mm 직경 TEOS 웨이퍼 상에서 수행하였다. Dow Chemicals로부터의 IC1010TM 패드를 폴리싱을 위해 사용하였다. 테이블 속도는 87 RPM이었다. 이동 속도는 93 RPM이었다. 하향력은 3.7 psi이었다.
표 6은 TEOS 필름의 제거율 데이타를 요약한 것이다.
표 6: TEOS 제거율 데이타 요약
Figure 112017040542850-pat00007
표 6에서 요약된 결과는, 보다 낮은 D50, D75, D99, MPS 및 입자 크기 분포에서 단지 두 개의 피크를 갖는 입자 크기 분포 B가 예상치 못하게 높은 제거율을 제공함을 제시하고 있다.
실시예 5
CMP 슬러리 포뮬레이션을 실시예 4에 기술된 바와 같이 0.5 중량%의 세리아 코팅된 실리카 입자 A, B 및 C로 제조하였다. 암모늄 하이드록사이드를 사용하여 포뮬레이션을 5까지 pH 조절하였다. 폴리싱을 실시예 4에 기술된 조건에 따라 수행하였다.
포뮬레이션들 중 일부에서, 0.1 중량% 암모늄 폴리아크릴레이트(MW 16000-18000)를 첨가하였다.
표 7은 제거율 데이타를 요약한 것이다.
결과는 암모늄 폴리아크릴레이트 첨가와 함께, 규소 니트라이드 제거율이 억제됨을 나타내었다. 폴리머는 규소 니트라이드 스톱퍼(stopper)로서 작용하였으며, 이에 따라, 높은 TEOS 제거율 및 조정 가능한 TEOS/SiN 제거율 선택도의 최적의 조합이 달성될 수 있다.
표 7: 제거율 데이타 요약
Figure 112017040542850-pat00008
표 7에 요약된 결과는 또한, 보다 낮은 D50, D75, D99 및 MPS와 함께 입자 크기 분포가 보다 높은 TEOS/SiN 제거율 선택도를 야기시킨다는 것을 시사한 것이다.
이러한 결과는 또한, 폴리싱 포뮬레이션이 2000 옹스트롱/min 초과의 규소 옥사이드의 폴리시 속도를 제공하였고, 160 옹스트롱/min 미만의 규소 니트라이드의 폴리시 속도를 제공함을 나타낸 것이다.
실시예 6
CMP 슬러리 포뮬레이션을 실시예 5에 기술된 바와 같은 0.5 중량%의 세리아 코팅된 실리카 입자 B 및 0.1 중량% 암모늄 폴리아크릴레이트(MW 16000-18000)로 제조하였다. 암모늄 하이드록사이드를 사용하여 포뮬레이션을 6까지 pH 조절하였다. 폴리싱을 실시예 5에 기술된 조건에 따라 수행하였다.
표 8: 제거율(Å/min) 요약
Figure 112017040542850-pat00009
표 8은 제거율 데이타를 요약한 것이다. 이러한 결과는, pH를 6까지 증가시킴으로써, 니트라이드에 대한 TEOS의 선택도가 47까지 추가로 개선되었음을 나타내었다.
본 발명은 보다 낮은 중간 입자 크기 및 보다 작은 크기 분포를 갖는 복합 입자가 정제 처리를 통해 수득될 수 있다는 것을 나타내었다. 보다 높은 제거율; 제거율에 대한 매우 낮은 균일성(WWNU), 낮은 디싱 및 낮은 결함을 제공하기 위해 정제된 복합 입자를 CMP 조성물에서 사용하였다.
구체예의 상기 실시예 및 설명은 청구항들에 의해 규정된 바와 같이 본 발명을 한정하기 보다는 오히려 예시적인 것으로 여겨질 것이다. 자명한 바와 같이, 상술된 특성들의 다수의 변형예 및 조합예는 청구항에 기술된 바와 같은 본 발명으로부터 벗어나지 않게 사용될 수 있다. 이러한 변형예는 하기 청구범위 내에 포함되는 것으로 의도된다.

Claims (15)

  1. 단일 세리아 코팅된 실리카 입자 및 응집된 세리아 코팅된 실리카 입자를 포함하는 정제된 복합 입자로서, 복합 입자의 1 중량% 미만이 5개 이상의 단일 세리아 코팅된 실리카 입자를 포함하는 응집된 세리아 코팅된 실리카 입자이고,
    각각의 세리아 코팅된 입자는 세리아 나노입자로 덮힌 표면을 갖는 코어 실리카 입자를 갖고, 각각의 세리아 나노입자는 10 nm 초과의 직경을 갖는,
    정제된 복합 입자.
  2. 제1항에 있어서, 복합 입자가 하기로 이루어진 군으로부터 선택된 특성을 갖는 복합 입자:
    (a) 복합 입자의 99 중량%가 250 nm 미만의 입자 크기를 가짐;
    (b) 복합 입자가 150 nm 미만의 중간 입자 크기를 가지고, 여기서 중간 입자 크기는 입자 직경의 가중 평균임; 및
    (c) 이들의 조합.
  3. 제1항 또는 제2항에 있어서, 복합 입자가 하기로 이루어진 군으로부터 선택되는 특성을 갖는 복합 입자:
    (a) 복합 입자의 1 중량% 미만이 4개 이상의 단일 세리아 코팅된 실리카 입자를 포함하는 응집된 세리아 코팅된 실리카 입자임;
    (b) 복합 입자의 99 중량%가 200 nm 미만의 입자 크기를 가짐;
    (c) 복합 입자가 125 nm 미만의 중간 입자 크기를 가짐; 및
    (d) 이들의 조합.
  4. 제1항 또는 제2항에 있어서, 복합 입자가 하기로 이루어진 군으로부터 선택된 특성을 갖는 복합 입자:
    (a) 복합 입자의 1 중량% 미만이 2개 이상의 단일 세리아 코팅된 실리카 입자를 포함하는 응집된 세리아 코팅된 실리카 입자임;
    (b) 복합 입자의 99 중량%가 200 nm 미만의 입자 크기를 가짐;
    (c) 복합 입자가 110 nm 미만의 중간 입자 크기를 가짐; 및
    (d) 이들의 조합.
  5. 제1항 또는 제2항에 있어서, 세리아 코팅된 실리카 입자가 단결정질 세리아 나노입자에 의해 덮여진 표면을 갖는 비정질 실리카 입자인 복합 입자.
  6. (1) 여과; (2) 보울 원심분리(bowl centrifuge); (3) 고정각 회전 원심분리; (4) 중력 침강(gravitational settling); (5) 소성 공정 및 밀링 공정의 최적화; 및 이들의 조합으로 이루어진 군으로부터 선택된 하나 이상의 단계를 포함하는, 큰 응집물을 감소시키기 위해 단일 입자 및 응집된 입자를 포함하는 복합 입자를 정제하는 방법으로서,
    단일 입자는 나노입자에 의해 덮여진 표면을 갖는 코어 입자를 포함하며,
    정제 후 정제된 복합 입자의 1 중량% 미만이 5개 이상의 단일 세리아 코팅된 실리카 입자를 포함하는 응집된 세리아 코팅된 실리카 입자이고,
    각각의 세리아 코팅된 입자는 세리아 나노입자로 덮힌 표면을 갖는 코어 실리카 입자를 갖고, 각각의 세리아 나노입자는 10 nm 초과의 직경을 갖는, 방법.
  7. 제6항에 있어서, 세리아 코팅된 실리카 입자가 단결정질 세리아 나노입자에 의해 덮여진 표면을 갖는 비정질 실리카 세리아 입자인 방법.
  8. 제6항 또는 제7항에 있어서, 정제된 복합 입자가 하기로 이루어진 군으로부터 선택되는 특성을 갖는 방법:
    (a) 복합 입자의 99 중량%가 250 nm 미만의 입자 크기를 가짐;
    (b) 복합 입자가 150 nm 미만의 중간 입자 크기를 가지며, 여기서, 중간 입자 크기는 입자 직경의 가중 평균임; 및
    (c) 이들의 조합.
  9. 제6항 또는 제7항에 있어서, 정제된 복합 입자가 하기로 이루어진 군으로부터 선택되는 특성을 갖는 방법:
    (a) 복합 입자의 1 중량% 미만이 4개 이상의 단일 세리아 코팅된 실리카 입자를 포함하는 응집된 세리아 코팅된 실리카 입자임;
    (b) 복합 입자의 99 중량%가 200 nm 미만의 입자 크기를 가짐;
    (c) 복합 입자가 125 nm 미만의 중간 입자 크기를 가짐; 및
    (d) 이들의 조합.
  10. 0.01 중량% 내지 20 중량%의 제1항 또는 제2항의 복합 입자; 및 물을 포함하는 화학적 기계적 평탄화(CMP) 폴리싱 조성물로서,
    CMP 조성물의 pH가 2 내지 12의 범위이며;
    화학적 기계적 평탄화(CMP) 폴리싱 조성물이
    0.0001 중량% 내지 5 중량%의 소듐 하이드록사이드, 세슘 하이드록사이드, 칼륨 하이드록사이드, 세슘 하이드록사이드, 암모늄 하이드록사이드, 4차 유기 암모늄 하이드록사이드, 및 이들의 조합으로 이루어진 군으로부터 선택되는 pH 조절제;
    0.000001 중량% 내지 0.5 중량%의 유기 카복실산, 아미노산, 아미도카복실산, N-아실아미노산, 및 이들의 염; 유기 설폰산 및 이의 염; 유기 포스폰산 및 이의 염; 폴리머 카복실산 및 이의 염; 폴리머 설폰산 및 이의 염; 폴리머 포스폰산 및 이의 염; 아릴아민, 아미노알코올, 지방족 아민, 헤테로시클릭 아민, 하이드록삼산, 치환된 페놀, 설폰아미드, 티올, 하이드록실 기를 갖는 폴리올, 및 이들의 조합으로 이루어진 군으로부터 선택된 작용기를 갖는 화합물로 이루어진 군으로부터 선택되는 화학 첨가제;
    0.0001 중량% 내지 10 중량%의 a) 비이온성 표면 습윤제; b) 음이온성 표면 습윤제; c) 양이온성 표면 습윤제; d) 양쪽성 표면 습윤제; 및 이들의 조합으로 이루어진 군으로부터 선택되는 계면활성제;
    0.001 중량% 내지 5 중량%의 음이온성 폴리머, 양이온성 폴리머, 비이온성 폴리머, 및 이들의 조합으로 이루어진 군으로부터 선택되는 수용성 폴리머;
    0.01 중량% 내지 3.0 중량%의 킬레이트제;
    부식 억제제;
    산화제; 및
    생물학적 성장 억제제(biological growth inhibitor) 중 하나 이상을 임의적으로 포함하는 화학적 기계적 평탄화(CMP) 폴리싱 조성물.
  11. 제10항에 있어서, CMP 폴리싱 조성물이 3.5 내지 10의 pH를 갖는 화학적 기계적 평탄화(CMP) 폴리싱 조성물.
  12. 제10항에 있어서, CMP 폴리싱 조성물이 세리아 코팅된 실리카 입자, 및 15000 내지 18000 범위의 분자량을 갖는 암모늄 폴리아크릴레이트를 포함하며, CMP 폴리싱 조성물이 4 내지 7 범위의 pH를 가지며, 복합 입자의 1 중량% 미만이 4개 이상의 단일 세리아 코팅된 실리카 입자를 포함하는 응집된 세리아 코팅된 실리카 입자인 화학적 기계적 평탄화(CMP) 폴리싱 조성물.
  13. a) 하나 이상의 옥사이드 층을 폴리싱 패드와 접촉시키는 단계;
    b) 제10항의 CMP 폴리싱 조성물을 하나 이상의 표면에 전달하는 단계; 및
    c) 하나 이상의 옥사이드 층을 CMP 폴리싱 조성물로 폴리싱하는 단계를 포함하는, 하나 이상의 옥사이드 층을 갖는 하나 이상의 표면을 포함하는 반도체 기판의 화학적 기계적 평탄화(CMP)를 위한 폴리싱 방법.
  14. 제13항에 있어서, CMP 폴리싱 조성물이 세리아 코팅된 실리카 입자, 및 15000 내지 18000 범위의 분자량을 갖는 암모늄 폴리아크릴레이트를 포함하며, CMP 폴리싱 조성물이 4 내지 7의 pH를 가지며, 복합 입자의 1 중량% 미만이 4개 이상의 단일 세리아 코팅된 실리카 입자를 포함하는 응집된 세리아 코팅된 실리카 입자이며, 복합 입자의 99 중량%가 200 nm 미만의 입자 크기를 가지며, 복합 입자가 125 nm 미만의 중간 입자 크기를 갖는 폴리싱 방법.
  15. 제13항에 있어서, 반도체 기판이 니트라이드 층을 추가로 포함하며, 니트라이드 층에 대한 하나 이상의 옥사이드 층의 제거 선택도(removal selectivity)가 10 초과이고/거나, 하나 이상의 옥사이드 층이 TEOS이며, 반도체 기판이 규소 니트라이드 층을 추가로 포함하며, 규소 니트라이드 층에 대한 TEOS의 제거 선택도가 20 초과인 폴리싱 방법.
KR1020170042123A 2016-03-31 2017-03-31 복합 입자, 이를 정제하는 방법 및 이의 용도 KR102145405B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662316089P 2016-03-31 2016-03-31
US62/316,089 2016-03-31
US15/462,463 US10421890B2 (en) 2016-03-31 2017-03-17 Composite particles, method of refining and use thereof
US15/462,463 2017-03-17

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020190096885A Division KR20190096892A (ko) 2016-03-31 2019-08-08 복합 입자, 이를 정제하는 방법 및 이의 용도

Publications (2)

Publication Number Publication Date
KR20170113456A KR20170113456A (ko) 2017-10-12
KR102145405B1 true KR102145405B1 (ko) 2020-08-18

Family

ID=58464460

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170042123A KR102145405B1 (ko) 2016-03-31 2017-03-31 복합 입자, 이를 정제하는 방법 및 이의 용도
KR1020190096885A KR20190096892A (ko) 2016-03-31 2019-08-08 복합 입자, 이를 정제하는 방법 및 이의 용도

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020190096885A KR20190096892A (ko) 2016-03-31 2019-08-08 복합 입자, 이를 정제하는 방법 및 이의 용도

Country Status (8)

Country Link
US (2) US10421890B2 (ko)
EP (2) EP3498795A1 (ko)
JP (2) JP6557273B2 (ko)
KR (2) KR102145405B1 (ko)
CN (2) CN114656923A (ko)
IL (1) IL251445B (ko)
SG (2) SG10201808500PA (ko)
TW (2) TWI640614B (ko)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3366746B1 (en) * 2015-10-23 2023-02-22 NITTA DuPont Incorporated Polishing composition
JP6616795B2 (ja) * 2016-04-22 2019-12-04 日揮触媒化成株式会社 シリカ系複合微粒子を含む研磨用砥粒分散液
EP3447790B1 (en) 2016-04-22 2023-05-24 JGC Catalysts and Chemicals Ltd. Silica-based composite fine particle dispersion and method for manufacturing same
JP6616794B2 (ja) * 2016-04-22 2019-12-04 日揮触媒化成株式会社 シリカ系複合微粒子分散液、その製造方法及びシリカ系複合微粒子分散液を含む研磨用砥粒分散液
US20190127607A1 (en) * 2017-10-27 2019-05-02 Versum Materials Us, Llc Composite Particles, Method of Refining and Use Thereof
US10822524B2 (en) * 2017-12-14 2020-11-03 Rohm And Haas Electronic Materials Cmp Holdings, I Aqueous compositions of low dishing silica particles for polysilicon polishing
KR102606995B1 (ko) 2018-02-13 2023-11-30 삼성디스플레이 주식회사 박막 트랜지스터 기판의 제조 방법
US11718767B2 (en) * 2018-08-09 2023-08-08 Versum Materials Us, Llc Chemical mechanical planarization composition for polishing oxide materials and method of use thereof
US20200095502A1 (en) * 2018-09-26 2020-03-26 Versum Materials Us, Llc High Oxide VS Nitride Selectivity, Low And Uniform Oxide Trench Dishing In Shallow Trench Isolation(STI) Chemical Mechanical Planarization Polishing(CMP)
US11180678B2 (en) 2018-10-31 2021-11-23 Versum Materials Us, Llc Suppressing SiN removal rates and reducing oxide trench dishing for Shallow Trench Isolation (STI) process
US11326076B2 (en) * 2019-01-25 2022-05-10 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with low abrasive concentration and a combination of chemical additives
US11608451B2 (en) * 2019-01-30 2023-03-21 Versum Materials Us, Llc Shallow trench isolation (STI) chemical mechanical planarization (CMP) polishing with tunable silicon oxide and silicon nitride removal rates
WO2020245904A1 (ja) * 2019-06-04 2020-12-10 昭和電工マテリアルズ株式会社 研磨液、分散体、研磨液の製造方法及び研磨方法
TWI767355B (zh) * 2019-10-24 2022-06-11 美商慧盛材料美國責任有限公司 高氧化物移除速率的淺溝隔離化學機械平坦化組合物、系統及方法
US11254839B2 (en) * 2019-12-12 2022-02-22 Versum Materials Us, Llc Low oxide trench dishing shallow trench isolation chemical mechanical planarization polishing
CN111171788A (zh) * 2020-01-02 2020-05-19 长江存储科技有限责任公司 研磨微粒及其制造方法、研磨剂
CN115698207A (zh) * 2020-05-29 2023-02-03 弗萨姆材料美国有限责任公司 用于浅槽隔离应用的低凹陷氧化物cmp抛光组合物及其制备方法
CN113150696B (zh) * 2021-03-01 2023-08-22 广州凌玮科技股份有限公司 一种用于降低硅片表面微划伤的抛光液
JP7296504B2 (ja) * 2021-04-02 2023-06-22 エスケー エンパルス カンパニー リミテッド 半導体工程用研磨組成物および研磨組成物を適用した半導体素子の製造方法
KR102620964B1 (ko) * 2021-07-08 2024-01-03 에스케이엔펄스 주식회사 반도체 공정용 연마 조성물 및 이를 이용한 연마된 물품의 제조방법
US20230399543A1 (en) * 2022-06-10 2023-12-14 Saint-Gobain Ceramics & Plastics, Inc. Chemical mechanical planarization slurry and method of polishing a substrate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003054096A1 (en) * 2001-12-20 2003-07-03 Akzo Nobel N.V. Cerium oxide coated silica particles and method for production thereof

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
US5750090A (en) 1996-05-27 1998-05-12 Nippon Inorganic Colour & Chemical Co., Ltd. Silica-cerium oxide composite particles, method for the preparation thereof and resin composition and a cosmetic composition compounded therewith
US6602439B1 (en) * 1997-02-24 2003-08-05 Superior Micropowders, Llc Chemical-mechanical planarization slurries and powders and methods for using same
TW510917B (en) * 1998-02-24 2002-11-21 Showa Denko Kk Abrasive composition for polishing semiconductor device and method for manufacturing semiconductor device using same
WO2001060940A1 (en) 2000-02-16 2001-08-23 Rodel Inc Biocides for polishing slurries
US20030118824A1 (en) * 2001-12-20 2003-06-26 Tokarz Bozena Stanislawa Coated silica particles and method for production thereof
KR100560223B1 (ko) * 2002-06-05 2006-03-10 삼성코닝 주식회사 고정도 연마용 금속 산화물 분말 및 이의 제조방법
SG155045A1 (en) * 2002-07-22 2009-09-30 Seimi Chem Kk Semiconductor polishing compound, process for its production and polishing method
KR100599327B1 (ko) * 2004-03-12 2006-07-19 주식회사 케이씨텍 Cmp용 슬러리 및 그의 제조법
US20070218811A1 (en) * 2004-09-27 2007-09-20 Hitachi Chemical Co., Ltd. Cmp polishing slurry and method of polishing substrate
JP2006100713A (ja) 2004-09-30 2006-04-13 Toshiba Ceramics Co Ltd 半導体ウェーハ用研磨組成物
US20080149884A1 (en) * 2006-12-21 2008-06-26 Junaid Ahmed Siddiqui Method and slurry for tuning low-k versus copper removal rates during chemical mechanical polishing
JP5516396B2 (ja) * 2008-10-01 2014-06-11 旭硝子株式会社 研磨スラリー、その製造方法、研磨方法および磁気ディスク用ガラス基板の製造方法
EP2438133B1 (en) 2009-06-05 2018-07-11 Basf Se Polishing slurry containing raspberry-type metal oxide nanostructures coated with CeO2
TWI593791B (zh) * 2011-01-25 2017-08-01 日立化成股份有限公司 Cmp研磨液及其製造方法、複合粒子的製造方法以及基體的研磨方法
JP6298588B2 (ja) 2011-06-22 2018-03-20 日立化成株式会社 洗浄液及び基板の研磨方法
JP5738101B2 (ja) 2011-07-08 2015-06-17 ジャパンパイル株式会社 杭保持装置
JP5881394B2 (ja) * 2011-12-06 2016-03-09 日揮触媒化成株式会社 シリカ系複合粒子およびその製造方法
JP5811820B2 (ja) 2011-12-09 2015-11-11 新日鐵住金株式会社 鋳片の鋳造方法
JP5787745B2 (ja) 2011-12-26 2015-09-30 日揮触媒化成株式会社 シリカ系複合粒子の製造方法
JP5789557B2 (ja) 2012-03-30 2015-10-07 株式会社クラレ ガラス系基材の研磨方法
US20140315386A1 (en) 2013-04-19 2014-10-23 Air Products And Chemicals, Inc. Metal Compound Coated Colloidal Particles Process for Making and Use Therefor
US8974692B2 (en) 2013-06-27 2015-03-10 Air Products And Chemicals, Inc. Chemical mechanical polishing slurry compositions and method using the same for copper and through-silicon via applications
JP2015169967A (ja) 2014-03-04 2015-09-28 株式会社リコー 情報処理システム、情報処理方法およびプログラム
JP6283939B2 (ja) 2014-03-25 2018-02-28 株式会社富士通ゼネラル 天井埋込型空気調和機
TWI564380B (zh) 2014-06-25 2017-01-01 卡博特微電子公司 銅障壁層化學機械拋光組合物
JP6598719B2 (ja) * 2016-03-30 2019-10-30 日揮触媒化成株式会社 シリカ系複合粒子分散液の製造方法

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003054096A1 (en) * 2001-12-20 2003-07-03 Akzo Nobel N.V. Cerium oxide coated silica particles and method for production thereof

Also Published As

Publication number Publication date
EP3498795A1 (en) 2019-06-19
IL251445A0 (en) 2017-06-29
TWI640614B (zh) 2018-11-11
TWI736796B (zh) 2021-08-21
TW201736567A (zh) 2017-10-16
KR20190096892A (ko) 2019-08-20
SG10201702658PA (en) 2017-10-30
CN107267118A (zh) 2017-10-20
CN107267118B (zh) 2022-08-19
US10421890B2 (en) 2019-09-24
SG10201808500PA (en) 2018-11-29
JP6557273B2 (ja) 2019-08-07
JP2017190450A (ja) 2017-10-19
US10894906B2 (en) 2021-01-19
TW201905158A (zh) 2019-02-01
KR20170113456A (ko) 2017-10-12
EP3231848A1 (en) 2017-10-18
JP2019151851A (ja) 2019-09-12
EP3231848B1 (en) 2018-12-26
CN114656923A (zh) 2022-06-24
US20190359868A1 (en) 2019-11-28
US20170283673A1 (en) 2017-10-05
IL251445B (en) 2021-07-29

Similar Documents

Publication Publication Date Title
KR102145405B1 (ko) 복합 입자, 이를 정제하는 방법 및 이의 용도
KR102493753B1 (ko) 복합 입자, 정제 방법 및 이의 용도
JP7130608B2 (ja) 化学機械平坦化組成物用の複合研磨粒子及びその使用方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
A107 Divisional application of patent
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2019101002671; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20190808

Effective date: 20200423

GRNO Decision to grant (after opposition)
GRNT Written decision to grant