TWI564380B - 銅障壁層化學機械拋光組合物 - Google Patents

銅障壁層化學機械拋光組合物 Download PDF

Info

Publication number
TWI564380B
TWI564380B TW104120493A TW104120493A TWI564380B TW I564380 B TWI564380 B TW I564380B TW 104120493 A TW104120493 A TW 104120493A TW 104120493 A TW104120493 A TW 104120493A TW I564380 B TWI564380 B TW I564380B
Authority
TW
Taiwan
Prior art keywords
cerium oxide
copper
polishing
colloidal cerium
composition
Prior art date
Application number
TW104120493A
Other languages
English (en)
Other versions
TW201614034A (en
Inventor
富琳
史提芬 古朗班
傑夫瑞 戴沙爾德
翁巍
劉磊
艾利克西 里歐諾芙
Original Assignee
卡博特微電子公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 卡博特微電子公司 filed Critical 卡博特微電子公司
Publication of TW201614034A publication Critical patent/TW201614034A/zh
Application granted granted Critical
Publication of TWI564380B publication Critical patent/TWI564380B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Description

銅障壁層化學機械拋光組合物 【優先權聲明】
本申請案主張名稱為「銅障壁層拋光組合物(Copper Barrier Polishing Composition)」的美國臨時申請案第62/017,073號及名稱為「用於化學機械拋光組合物之膠態氧化矽磨料(Colloidal Silica Abrasive for a Chemical Mechanical Polishing Composition)」的美國臨時申請案第62/017,100號之權利,每一申請案均係於2014年6月25日提出申請。
本發明係關於一種化學機械拋光組合物、一種使用該組合物對一包含銅層、一銅障壁層、及一介電層之基板進行化學機械拋光的方法、及一種製備化學機械拋光組合物的方法。該組合物包含一水基底之液體載劑、分散在該液體載劑中之膠態氧化矽磨料粒子、一併入該等膠態氧化矽磨料粒子之一外表面內的胺基矽烷化合物或鏻矽烷(phosphonium silane)化合物、一氧化劑、以及一銅拋光抑制劑及一銅錯合劑中至少一者。
許多化學機械拋光(chemical-mechanical polishing;CMP)操作係用於半導體元件之前段製程(front-end-of-the-line;FEOL)及後段製程(back-end-of-the-line;BEOL)處理。舉例而言,通常採用以下CMP操作。淺溝槽隔離(shallow trench isolation;STI)係一在電晶體形成之前使用之FEOL製程。一介電質(例如,原矽酸四乙酯(tetraethyl orthosilicate;TEOS))沉積於形成在矽晶圓中之開口中。接著,使用一CMP製程以移除過量TEOS,此產生一TEOS之預定圖案嵌於該矽晶圓中之一結構。鎢插塞與互連線及銅互連線與雙鑲嵌製程係BEOL製程,用於形成連接元件電晶體之金屬線之網路。在該等製程中,鎢或銅金屬係沉積於形成在一介電材料(例如,TEOS)中之開口中。CMP製程用於自該介電質移除過量鎢或銅以在其中形成鎢或銅插塞及/或互連線。一層間介電質(interlayer dielectric;ILD)材料(例如TEOS)係沉積於金屬互連線之間以在該等互連線之間提供電絕緣。通常採用一ILD CMP步驟以在構建後續互連線之前使所沉積絕緣材料光滑及平坦化。
在一習用CMP操作中,欲拋光之基板(晶圓)安裝於載具(拋光頭)上,該載具進而安裝於一載具組件上並經定位接觸一CMP裝置(拋光工具)中之一拋光墊。該載具組件為該基板提供一可控制壓力,將該基板壓靠於該拋光墊上。一化學機械拋光組合物通常施加至該墊之表面,同時該基板及墊相對於彼此 移動。基板與墊(及所施加拋光組合物)之相對移動係研磨該基板之表面並自其移除一部分材料,藉此拋光該基板。該基板之拋光通常借助於拋光組合物(例如,藉由一化學加速劑)之化學活性及/或懸浮於拋光組合物中之磨料的機械活性。
用於拋光(或平坦化)基板表面之化學機械拋光組合物及方法已為此項技術領域所熟知。用於拋光介電質之拋光組合物(亦稱為漿液)通常包括氧化矽或氧化鈰磨料。使用氧化矽磨料之拋光組合物通常具有一高pH值及一高氧化矽濃度(例如,大於12重量%)。用於拋光金屬層(例如鎢或銅)之拋光組合物通常包含氧化矽或氧化鋁磨料以及各種化學加速劑,例如氧化劑、螯合劑、觸媒及諸如此類。
如此項技術領域中所熟知,半導體行業正經受持續且嚴重的價格下滑壓力。為維持經濟上有利之CMP製程,需要高生產量,由此需要所拋光之原材料之高移除速率(例如,ILD CMP製程或銅障壁層CMP製程可能需要TEOS之高移除速率,而鎢CMP製程可能需要鎢之高移除速率)。該價格下滑壓力亦延伸至CMP消耗品本身(例如,延伸至CMP漿液及墊)。該價格壓力對漿液配方設計者造成挑戰,此乃因降低成本之壓力常常與所需之漿液性能指標相衝突。能在降低之總成本下提供高生產量之CMP漿液乃此行業中確實之需要。
揭示一種用於對一包含一銅層之基板(例如,一半導體晶圓)進行拋光之化學機械拋光組合物。該拋光組合物包含一水基底之液體載劑、分散於該液體載劑中之膠態氧化矽磨料粒子、及一併入該等膠態氧化矽磨料粒子之一外表面內之化學物質。該化學物質係一含氮化合物或一含磷化合物,例如包含一胺基矽烷或一鏻矽烷化合物。該拋光組合物可更包含一氧化劑、及一銅拋光抑制劑及一銅錯合劑中至少一者。
1‧‧‧由單個原粒子組成之粒子
2‧‧‧由二個聚集之原粒子組成之粒子
3‧‧‧由三個聚集之原粒子組成之粒子
4‧‧‧由四個聚集之原粒子組成之粒子
5‧‧‧由五個聚集之原粒子組成之粒子
6‧‧‧由六個聚集之原粒子組成之粒子
20‧‧‧大粒子
為更全面地理解本文所揭示之標的物及其優點,現將結合附圖參照以下說明,第1圖描繪具有聚集體分佈之實例性膠態氧化矽粒子的一穿透式電子顯微照片(transmission electron micrograph;TEM)。
揭示一種化學機械拋光組合物。該組合物包含一水基底之液體載劑、及分散於該液體載劑中之膠態氧化矽磨料粒子。一含氮或含磷化學物質(例如一胺基矽烷化合物)係併入該等膠態氧化矽磨料粒子中,使得該等粒子較佳在一酸性pH值範圍中具有一永久正電荷(permanent positive charge)。如下文更詳細之闡述,該等膠態氧化矽磨料粒子可在一含有該化學物質(例如,胺基矽烷化合物)之液體溶液中生長,使得該化學物質在其生長期間併入該等膠態氧化矽粒子中。該拋光組合物可更包括一氧化劑、及一銅拋光抑制劑及一銅錯合劑中至少一者。
更揭示使用上述拋光組合物來化學機械拋光一基板之方法。舉例而言,一種對包含一銅層及一銅障壁層(例如鉭或氮化鉭)之一基板進行拋光之方法可包含使該基板接觸上述拋光組合物,使該拋光組合物相對於該基板移動,以及研磨該基板以自該基板移除一部分銅層及銅障壁層且藉此拋光該基板。
所揭示之拋光組合物係含有一懸浮於一液體載劑(例如,水)中之磨料膠態氧化矽粒子之分散液。本文所用之術語膠態氧化矽粒子係指經由一濕式製程製備之氧化矽粒子,而非經由產生結構上不同粒子之一火成或火焰水解製程製備之氧化矽粒子。一適宜之分散液可包含聚集及非聚集膠態氧化矽粒子二者。如此項技術領域中之通常知識者所知,非聚集粒子係個別地離散粒子,該等粒子之形狀可為球形或接近球形,但同樣可具有其他形狀。該等非聚集粒子係被稱為原粒子(primary particle)。聚集粒子係為其中多個離散粒子(原粒子)群聚或結合在一起以形成具有通常不規則形狀之聚集體的粒子。聚集粒子可包括二個、三或更多個連接之原粒子。
如上文所述,該等膠態氧化矽磨料粒子包含一併入該等粒子(即,在該等粒子之內部中)之化學物質。該化學物質係一含氮化合物或一含磷化合物。當該化學物質係一含氮化合物時,其較佳包括含胺化合物或含銨化合物。當該化學物質係一含磷化合物時,其較佳包括一含膦化合物或含鏻化合物。銨化合物 可包含R1R2R3R4N+且鏻化合物可包含R1R2R3R4P+,其中R1、R2、R3、及R4係獨立地代表氫、C1-C6烷基、C7-C12芳基烷基、或C6-C10芳基。該等基團當然可經一或多個羥基進一步取代。
實例性銨化合物可包括四甲基銨、四乙基銨、四丙基銨、四丁基銨、四戊基銨、乙基三甲基銨、及二乙基二甲基銨。在某些實施態樣中,該銨化合物較佳不為氨或銨(NH3或NH4 +)。
實例性鏻化合物可包括四甲基鏻、四乙基鏻、四丙基鏻、四丁基鏻、四苯基鏻、甲基三苯基鏻、乙基三苯基鏻、丁基三苯基鏻、苄基三苯基鏻、二甲基二苯基鏻、羥甲基三苯基鏻、及羥乙基三苯基鏻。實例性鏻化合物亦可包括一鏻矽烷化合物。
含氮化合物亦可包含具有胺基之物質,例如一級胺、二級胺、三級胺、或四級胺化合物。此一含氮化合物可包括一胺基酸,例如具有1個至8個碳原子之胺基酸,例如離胺酸、麩醯胺酸、甘胺酸、亞胺二乙酸、丙胺酸、纈胺酸、白胺酸、異白胺酸、絲胺酸、及蘇胺酸。
在某些實施態樣中,化學物質可包括一較佳具有1個至6個碳原子之含氮鹼性觸媒。適宜之化合物可包括(例如)乙二胺、四甲基氫氧化銨(tetramethylanmmonium hydroxide;TMAH)、或乙氧基丙基胺(ethyloxypropylamine;EOPA)。
在各個實施態樣中,在膠態氧化矽磨料粒子中,化 學物質對氧化矽之莫耳比較佳大於約0.1%(例如,大於約0.2%或大於約0.3%)且小於約10%(例如,小於5%或小於2%)或在約0.1%至約10%之範圍內(例如,約0.2%至約5%、約0.2%至約2%、或約0.3%至約2%)。膠態氧化矽磨料粒子之氮含量亦可大於約0.15毫莫耳/公克SiO2(例如,大於約0.2毫莫耳/公克SiO2)。
胺基矽烷化合物係最佳的含氮化合物。該等胺基矽烷化合物可包含一級胺基矽烷、二級胺基矽烷、三級胺基矽烷、四級胺基矽烷、及多臂(multi-podal)(例如,雙臂)胺基矽烷。該胺基矽烷化合物可包括實質上任何適宜之胺基矽烷,例如含丙基之胺基矽烷、或包括丙基胺之胺基矽烷化合物。適宜之胺基矽烷之實例可包括雙(2-羥乙基)-3-胺基丙基三烷氧基矽烷、二乙基胺基甲基三烷氧基矽烷、(N,N-二乙基-3-胺基丙基)三烷氧基矽烷、3-(N-苯乙烯基甲基-2-胺基乙基)胺基丙基三烷氧基矽烷、胺基丙基三烷氧基矽烷、(2-N-苄基胺基乙基)-3-胺基丙基三烷氧基矽烷、三烷氧基矽烷基丙基-N,N,N-三甲基銨(trialkoxysilyl propyl-N,N,N-trimethyl ammonium)、N-(三烷氧基矽烷基乙基)苄基-N,N,N-三甲基銨、雙(甲基二烷氧基矽烷基丙基)-N-甲基胺、雙(三烷氧基矽烷基丙基)脲、雙(3-(三烷氧基矽烷基)丙基)-乙二胺、雙(三烷氧基矽烷基丙基)胺、3-胺基丙基三烷氧基矽烷、N-(2-胺基乙基)-3-胺基丙基甲基二烷氧基矽烷、N-(2-胺基乙基)-3-胺基丙基三烷氧基矽烷、3-胺基丙基甲基二烷氧基矽 烷、3-胺基丙基三烷氧基矽烷、(N-三烷氧基矽烷基丙基)聚伸乙基亞胺、三烷氧基矽烷基丙基二伸乙基三胺、N-苯基-3-胺基丙基三烷氧基矽烷、N-(乙烯基苄基)-2-胺基乙基-3-胺基丙基三烷氧基矽烷、4-胺基丁基三烷氧基矽烷、及其混合物。此項技術領域中之通常知識者應易於瞭解,胺基矽烷化合物通常在一水性介質中水解(或部分水解)。因此,應理解,藉由列舉胺基矽烷化合物,胺基矽烷及/或其水解(或部分水解)物質及/或縮合物質可併入膠態氧化矽磨料粒子中。
在其中含氮化合物係胺基矽烷化合物之實施態樣中,在該等膠態氧化矽磨料粒子中,該胺基矽烷化合物對氧化矽之莫耳比係較佳小於約10%(例如,小於約8%、小於約6%、小於約5%、小於約4%、或小於約2%)。莫耳比亦較佳(但非必需)大於約0.1%(例如,大於約0.2%或大於約0.3%)。應理解,在該等膠態氧化矽磨料粒子中,該胺基矽烷化合物對氧化矽之莫耳比可大約等於在該等膠態氧化矽磨料粒子在其中生長之液體溶液中該胺基矽烷化合物對該產生氧化矽之化合物之莫耳比。
應理解,該等膠態氧化矽磨料粒子可包含二種或更多種併入該等粒子中之上述化學物質。舉例而言,在一個膠態氧化矽實施態樣中,一第一併入化學物質可包括胺基矽烷化合物且一第二併入化學物質可包括銨化合物,例如四級胺。在其中該第一化學物質為銨且該第二化學物質為四級胺之一實施態樣中,該 第一化學物質對該第二化學物質之莫耳比係較佳小於約5:1。
包含帶正電荷之膠態氧化矽磨料粒子之CMP組合物已揭示於(例如)美國專利7,994,057及8,252,687中。在該等專利中,氧化矽粒子上之正電荷係經由用一帶正電荷之化學化合物(例如,含四級胺之化合物或含胺基矽烷之化合物)來處理粒子之外表面而達成。儘管當利用包含該等帶正電荷之氧化矽磨料粒子之CMP組合物時可達成某些益處,但表面處理劑之使用可掩蔽(或遮蔽)粒子表面(例如,表面上之矽醇及/或矽氧烷基團),使得磨料可不一定與未經處理之氧化矽磨料具有一些相同之所需性質。舉例而言,表面處理可不利地影響該組合物之貯存壽命及濃縮組合物之能力。而且,表面處理化合物之使用可對CMP後之晶圓清潔操作造成挑戰。
本發明之一態樣係認識到作為另一選擇,帶正電荷之膠態氧化矽磨料粒子可經由將某些帶正電荷之化學物質併入該等磨料粒子(即,將化學物質在表面下併入粒子之內部)而獲得。具有提供正電荷之內部化學物質之膠態氧化矽磨料粒子可(例如)經由使該等磨料粒子在含該等化學物質之液體溶液中生長來製備,使得該等化學物質在該等膠態氧化矽粒子之生長期間併入該等膠態氧化矽粒子之至少一部分中。作為另一選擇,該等磨料粒子可經由用該化學物質處理一習用膠態氧化矽粒子且然後在該化學物質上生長額外之氧化矽(且由此利用額外之氧化矽覆蓋該化 學物質)來製備。儘管該化學物質係由內部併入該等膠態氧化矽磨料粒子中,但應理解,該化學物質之一部分可處於粒子表面處或靠近該粒子表面(使得該化學物質係在表面內及表面處)。
在第一實施態樣中,具有一內部化學物質之膠態氧化矽磨料粒子可(例如)經由以下製備:(i)提供一液體溶液(例如,包括在一預定pH值下之水)且(ii)將該液體溶液與產生氧化矽之化合物及化學物質組合,由此使得膠態氧化矽粒子在該液體溶液中生長,以獲得一包含膠態氧化矽粒子之分散液,該等膠態氧化矽粒子具有併入其中之化學物質。作為另一選擇,化學物質可包含於(i)中所提供之液體溶液中。該產生氧化矽之化合物可包括(例如)原矽酸四甲酯(tetramethyl orthosilicate;TMOS)、原矽酸四乙酯、矽酸、鹼金屬或銨矽酸鹽、或四鹵化矽。此方法類似於美國專利8,529,787中所揭示,將TMOS連續添加至包含一鹼性觸媒之母液之方法(相似性在於一產生氧化矽之化合物係與一液體溶液組合以產生膠態氧化矽粒子)。
該方法可更包含處理該分散液以獲得一化學機械拋光組合物,該組合物包含具有併入其中之化學物質之膠態氧化矽粒子。該處理可包括(例如)用水稀釋該分散液及/或蒸餾該分散液以移除在該等膠態氧化矽粒子生長期間所獲得之甲醇或乙醇副產物。作為另一選擇及/或另外,該處理可包括將該pH值調整至一所需值及/或添加其他化學組分,例如氧化劑、加速劑、觸 媒、緩衝劑、螯合劑、腐蝕抑制劑、膜形成劑、表面活性劑、拋光均勻性添加劑、殺生物劑及諸如此類。該處理不包括將一自該等膠態氧化矽粒子燒掉(或以其他方式移除)化學物質之高溫煆燒步驟,因為期望該些化學物質保留於該等膠態氧化矽粒子中。
水性液體溶液中溶解之化學物質可包括上述物質中之任何一或多者,但最佳包括一胺基矽烷化合物。化學物質可以實質上任何適宜之量添加至液體溶液,使得足夠量併入該等膠態氧化矽粒子中(其中該等粒子較佳-但非必需-包括少於10重量%之併入其中之化學物質)。該水性液體溶液可更視需要包含一鹼性觸媒,例如,包括醚胺、伸乙基胺、四烷基胺、及/或醇胺。適宜之鹼性觸媒可包括一有機鹼觸媒,例如乙二胺、二伸乙基三胺、三伸乙基四胺、氨、尿素、單乙醇胺、二乙醇胺、三乙醇胺、四甲基氫氧化銨(TMAH)、四甲基胍、四乙基氫氧化銨、胺基丙基嗎啉、己氧基丙基胺、乙氧基丙基胺(EOPA)、吉夫胺HK-511(jeffamine HK-511)、或其組合。在某些實施態樣中,該鹼性觸媒可較佳具有1個至6個碳原子。作為另一選擇及/或另外,該鹼性觸媒可包括氫氧化鉀(potassium hydroxide;KOH)。所添加鹼性觸媒之量可經選擇,以使得該水性液體溶液之pH值通常在約7至約14之範圍內且較佳在約9至約12之範圍內。
該液體溶液可視需要更包含意欲用作膠態氧化矽生長之成核位點的膠態氧化矽粒子。在該等實施態樣中,該最終膠 態氧化矽可被認為具有一核-殼結構(或一多層結構),其中核(內層)包含最初添加至液體溶液之膠態氧化矽粒子且殼(外層)包含在核上生長且包含內部化學物質(例如胺基矽烷)之氧化矽。在具有一核-殼結構之粒子中,該殼可具有實質上任何適宜之厚度,例如大於1奈米(例如,大於2奈米、大於3奈米、或大於5奈米)。應理解,所揭示實施態樣並不限於具有一核-殼結構之膠態氧化矽粒子,此乃因該內部化學物質(例如,胺基矽烷)可分佈遍及實質上整個粒子。
在第二實施態樣中,具有一內部化學物質之膠態氧化矽磨料粒子可(例如)經由以下製造:(i)提供一高pH值矽酸鹽溶液(例如,矽酸鈉或矽酸鉀溶液),(ii)處理該矽酸鹽溶液以質子化該等矽酸鹽陰離子並形成矽酸(例如,經由添加酸至該溶液中或使該溶液穿過一離子交換管柱),此進而使膠態氧化矽粒子在一反應容器中沉澱並生長,及(iii)將化學物質添加至該反應容器,以使其併入正在生長之膠態氧化矽粒子中。該矽酸鹽溶液較佳具有在約11至約13範圍內之pH值。該矽酸鹽溶液可穿過一離子交換管柱進入至該反應容器中,此往往使pH值降低至在約2至約5範圍內之值。該化學物質可以實質上任何適宜之量(且以實質上任何適宜之速率)添加至該反應容器中,使得足夠之量併入該等膠態氧化矽粒子中(其中該等粒子較佳-但非必需-包含少於10重量%之併入其中之化學物質)。
在第三實施態樣中,膠態氧化矽磨料粒子可經由(例如)以下製造:利用一化學物質處理(例如,表面處理)一習用膠態氧化矽粒子,然後在該經處理膠態氧化矽(即,在該化學物質)上生長額外之氧化矽。舉例而言,一含氮化合物(例如四級胺化合物或胺基矽烷化合物)可添加至含有膠態氧化矽之分散液中(例如,如美國專利7,994,057及8,252,687中所教示)。足夠時間以允許該氮化合物變得與該等膠態氧化矽粒子締合(例如,化學鍵結或靜電締合)之後,可將一產生氧化矽之化合物(例如,TMOS、TEOS、矽酸、一鹼金屬或銨矽酸鹽、或四鹵化矽)添加至該分散液。該分散液可視需要加熱(例如,至45℃)以加速該等膠態氧化矽粒子之進一步生長,使得化學物質(表面處理劑)併入該粒子中。該等膠態氧化矽粒子可視為具有至少二個層,即包含該經處理之膠態氧化矽之一第一內層,以及沉積於該內層上藉此將化學物質併入該粒子之氧化矽的一第二外層。
作為另一選擇,帶正電荷之膠態氧化矽磨料粒子可經由將一帶正電荷之化學物質併入該等磨料粒子中,接著進一步結合(經由表面處理)相同或不同的化學物質至該粒子表面來獲得。該等磨料粒子可(例如)藉由以下製作:首先在一含有化學物質之液體溶液中生長該等膠態氧化矽粒子(例如,使用上述方法中之一者),使得該化學物質在該等膠態氧化矽粒子生長期間併入該等膠態氧化矽粒子中之至少一部分中,且接著隨後表面處理 該等粒子。舉例而言,在一個實施態樣中,該等膠態氧化矽磨料粒子可包含一內部化學物質,該內部化學物質包含一具有1個至6個碳原子之含氮鹼性觸媒(例如上述乙二胺、TMAH、或EOPA)。該等磨料粒子可接著利用(例如)胺基矽烷化合物進一步表面處理。該等膠態氧化矽磨料粒子可使用極低表面處理含量之胺基矽烷而有利地達成高電荷含量(且藉此可能掩蔽表面上較小百分比之矽醇及/或矽氧烷基團)。
在其中該等膠態氧化矽磨料粒子包含一具有1個至6個碳原子之內部含氮鹼性觸媒且利用一胺基矽烷化合物進一步表面處理之實施態樣中,該等膠態氧化矽磨料粒子可包含一低含量之內部化學物質,例如少於0.20毫莫耳/公克之氮。在該等實施態樣中,該等粒子在經表面處理之前之ζ電位(zeta potential)在pH值為4下可小於15毫伏(例如,小於13毫伏或小於10毫伏)。同樣地,該等膠態氧化矽磨料粒子可包含一低含量之胺基矽烷化合物鍵結至表面,例如鍵結至少於4%(例如,少於3%、或少於或等於2%)之表面上之矽醇基團(假設4.5個SiOH/平方奈米及氧化矽之BET表面積)。
應理解,用於製備具有一內部化學物質之膠態氧化矽粒子之上述方法係產生一其中膠態氧化矽粒子懸浮於一液體載劑中之分散液。在製備本文所述之化學機械拋光組合物中,該分散液可稀釋至膠態氧化矽粒子達一預定濃度。而且,其他化學化 合物可視需要添加至分散液(在稀釋之前或之後)。該等化學化合物可包括實質上本文所揭示該等化合物中之任一者。
該膠態氧化矽粒子可具有實質上任何適宜之聚集度。舉例而言,該膠態氧化矽磨料可為實質上非聚集的,其主要包括原粒子。作為另一選擇,該膠態氧化矽磨料可部分地聚集。部分地聚集可意味著該等膠態氧化矽磨料粒子之50%或以上包括二或更多個聚集之原粒子或30%或以上(或45%或以上)之該等膠態氧化矽粒子包括三或更多個聚集之原粒子。該等部分聚集之膠態氧化矽磨料可(例如)使用其中原粒子係首先在溶液中生長之一多步驟製程來製備,例如美國專利5,230,833中所述。接著,可將溶液之pH值調整至一酸性值維持一預定期間以促進聚集(或部分聚集),例如美國專利8,529,787中所述。一視需要的最後步驟可允許聚集體(及任何剩餘原粒子)之進一步生長。
該等膠態氧化矽磨料粒子可更具有一聚集體分佈,其中該等膠態氧化矽磨料粒子之20%或以上包括少於三個原粒子(即,非聚集之原粒子或僅具有二個原粒子之聚集粒子,亦稱為單體及二聚體)且該等膠態氧化矽磨料粒子之50%或以上包括三或更多個聚集之原粒子。
圖式係描繪用於一CMP組合物之具有上述聚集體分佈之實例性膠態氧化矽粒子之穿透式電子顯微照片(TEM)。實例性TEM係描繪具有1個至6個原粒子之膠態氧化矽粒子。對總共16 個粒子進行計數,其中之三個由單個原粒子組成,其中之二個由二個聚集之原粒子組成,其中之五個由三個聚集之原粒子組成,其中之二個由四個聚集之原粒子組成,其中之三個由五個聚集之原粒子組成,且其中之一個由六個聚集之原粒子組成。所描繪之影像亦包括在右上方看上去為一大粒子者(在20處所描繪),該大粒子由於其根據影像不清楚其特徵係一單一聚集體或彼此靠近之多個聚集體而未計數。已知在任一影像中之粒子數量相對較少,應理解為獲得聚集體分佈之一統計顯著量測,通常需要評估大量TEM影像。
具有本文所述聚集體分佈之膠態氧化矽磨料粒子可(例如)如上文關於美國專利5,230,833及8,529,787所述來生長。作為另一選擇,具有聚集體分佈(如上文所定義)之膠態氧化矽磨料粒子可藉由將原粒子添加至部分聚集或聚集之膠態氧化矽來製備。舉例而言,可將膠態氧化矽原粒子添加至一具有部分聚集之膠態氧化矽粒子之分散液中,其中該等膠態氧化矽磨料粒子之50%或以上包括三或更多個聚集之原粒子,使得5%或以上之該等膠態氧化矽磨料粒子係原粒子。在此一實施態樣中,該等聚集膠態氧化矽粒子可具有在約40奈米至約60奈米範圍內之平均粒徑,而該等膠態氧化矽原粒子可具有在約15奈米至約35奈米範圍內之平均粒徑。而且,該拋光組合物可包含約1重量%至約4重量%之該等聚集膠態氧化矽粒子及約0.05重量%至約0.5重量%之未聚集之 膠態氧化矽原粒子。
懸浮於一分散液中之一粒子的粒徑在產業中可使用各種方式定義。在本文所揭示之實施態樣中,粒徑係藉由自Malvern Instruments®獲得之Zetasizer®量測定義。該等磨料粒子可具有實質上適用於具體CMP操作之任何粒徑。該等磨料粒子較佳具有約10奈米或以上(例如,約20奈米或以上、約30奈米或以上、或約40奈米或以上)之平均粒徑。該等磨料粒子較佳亦具有約100奈米或以下(例如,約80奈米或以下、約70奈米或以下、或約60奈米或以下)之平均粒徑。因此,該等磨料粒子可具有在約10奈米至約100奈米(例如,約20奈米至約80奈米、或約30奈米至約70奈米、或約40奈米至約60奈米)範圍內之平均粒徑。
該等膠態氧化矽磨料粒子可更具有實質上任何適宜之原粒徑(primary particle size)。在某些實施態樣中,原粒徑可在約15奈米至約35奈米(例如,約20奈米至約30奈米)之範圍內。而且,對於原粒子而言所有粒子皆具有大約相同之大小係有利的(使得該膠態氧化矽具有一窄的原粒徑分佈)。舉例而言,大於90%(例如,大於95%、大於98%、或大於99%)之原粒子可具有在約15奈米至約35奈米(例如,約20奈米至約30奈米)範圍內之原粒徑。此外,原粒徑之標準偏差可小於約5奈米。
該拋光組合物可包含實質上任何適宜之量之膠態氧化矽粒子,然而,一般而言,低的使用量係適宜的,以降低CMP 操作之成本。在一調配來用於拋光一氧化矽材料之CMP組合物實例中,該拋光組合物可包含約0.5重量%或以上之膠態氧化矽磨料粒子(例如,約1重量%或以上、約1.5重量%或以上、約2重量%或以上、或約3重量%或以上)。所用之拋光組合物可包含約6重量%或以下之膠態氧化矽磨料粒子(例如,約5重量%或以下、約3.5重量%或以下、或約3重量%或以下)。較佳地,所用之拋光組合物中膠態氧化矽粒子之量係在約0.5重量%至約6重量%之範圍內,且更佳自約1重量%至約5重量%(例如,約2重量%至約4重量%)。
本發明之拋光組合物可有利地作為濃縮物提供,該濃縮物意欲在使用前用適當量之水稀釋。在此一實施態樣中,該濃縮物可包含多於5重量%之膠態氧化矽(例如,多於約8重量%、多於約10重量%、或多於約12重量%)。該濃縮物亦可包含少於約25重量%之膠態氧化矽(例如,少於約22重量%、少於約20重量%、或少於約18重量%)。該濃縮物較佳包含約5重量%至約25重量%之膠態氧化矽(例如,約8重量%至約22重量%、約10重量%至約20重量%、或約12重量%至約18重量%)。端視該拋光組合物中其他添加劑之含量而定,該濃縮物可視需要包括約5重量%至約20重量%之膠態氧化矽(例如,約8重量%至約15重量%)。
使用液體載劑來促進磨料及任何視需要之化學添加劑至欲拋光(例如,平坦化)之適宜基板之表面的施加。該液體載劑可為任何適宜之載劑(例如,溶劑),包括低碳數醇(例如, 甲醇、乙醇等)、醚(例如,二噁烷、四氫呋喃等)、水、及其混合物。較佳地,該液體載劑包含水、更佳為去離子水,基本由水、更佳由去離子水組成,或由水、更佳由去離子水組成。
該等膠態氧化矽磨料粒子可視需要在該拋光組合物中具有一永久正電荷。分散粒子(例如膠態氧化矽粒子)上之電荷在此項技術領域中通常稱為ζ電位(或動電位(electrokinetic potential))。粒子之ζ電位係指粒子周圍之離子的電荷與拋光組合物之主體溶液(例如,液體載劑及溶於其中之任何其他組分)的電荷之間的電位差。ζ電位通常取決於水性介質之pH值。對於一既定拋光組合物,粒子之等電點係定義為ζ電位為零之pH值。當pH值增加或降低離開等電點時,表面電荷(且因此ζ電位)相應地降低或增加(朝向負性或正性ζ電位值)。一分散液(例如,一拋光組合物)之ζ電位可使用自分散液技術公司(Dispersion Technologies,Inc.)(紐約州貝德福德希爾斯市(Bedford Hills,NY))購得之DT-1202型超聲及電聲譜分析儀(Model DT-1202Acoustic and Electro-acoustic spectrometer)獲得。
該拋光組合物中之膠態氧化矽粒子較佳具有約6毫伏或以上(例如,約8毫伏或以上、約10毫伏或以上、約13毫伏或以上、約15毫伏或以上、或約20毫伏或以上)之永久正電荷。該拋光組合物中之膠態氧化矽粒子可具有約50毫伏或以下(例如,約45毫伏或以下、約40毫伏或以下、或約35毫伏或以下)之永久 正電荷。較佳地,該等膠態氧化矽粒子具有在約6毫伏至約50毫伏(例如,約10毫伏至約45毫伏、約15毫伏至約40毫伏、或約20毫伏至約40毫伏)之範圍內之永久正電荷。
永久正電荷意指氧化矽粒子上之正電荷不會輕易地藉由(例如)沖洗、稀釋、過濾及諸如此類而可逆。永久正電荷可為粒子中併入帶正電荷物質之結果。永久正電荷可進一步由粒子與帶正電荷之物質間之共價相互作用而造成,且與可為(例如)粒子與帶正電荷之物質間之靜電相互作用之結果的可逆正電荷形成對比。
儘管如此,本文所用至少6毫伏之永久正電荷意指該等膠態氧化矽粒子之ζ電位在以下三步過濾測試之後保持高於6毫伏。藉由一Millipore Ultracell再生纖維素超濾盤(例如,具有100,000道爾頓(Dalton)之分子量(MW)截止值及6.3奈米之孔徑)來過濾一體積之拋光組合物(例如,200毫升)。收集剩餘分散液(由超濾盤保留之大約65毫升分散液)並用pH值經調整之去離子水補充。去離子水係使用一適宜之無機酸(例如硝酸)將pH值調整至拋光組合物之初始pH值。此程序重複總共三個過濾循環。然後量測經三重過濾且經補充之拋光組合物之ζ電位並與初始拋光組合物之ζ電位相比較。此三步過濾測試更以舉例方式示於下文中(實例9)。
不希望受限於理論,據信由超濾盤保留之分散液(經 保留之分散液)係包含該等氧化矽粒子及可於粒子中或與粒子之表面締合(例如,鍵結、附接、靜電相互作用、或接觸粒子表面)之任何化學組分(例如,帶正電荷之物質)。該液體載劑之至少一部分及其中溶解之化學組分係通過該超濾盤。據信補充該經保留之分散液至初始體積將擾亂初始拋光組合物中之平衡,使得與粒子表面締合之化學組分可趨向一新的平衡。於粒子中或與粒子表面強烈締合(例如,共價鍵結)之組分保持與粒子一起,使得若其正ζ電位中存在任何變化,變化亦往往很小。相比之下,與粒子表面具有更弱締合(例如,靜電相互作用)的一部分組分可在系統趨向新平衡時返回至溶液中,由此使得正ζ電位降低。重複此製程達總共三個超濾及補充循環據信將增強上述效應。
較佳為初始拋光組合物中之膠態氧化矽粒子之ζ電位與上述三步過濾測試之後(經校正由過濾測試造成之離子強度差異之後)該拋光組合物中之膠態氧化矽粒子之ζ電位之間的差異較小。舉例而言,較佳為初始拋光組合物中之膠態氧化矽粒子的ζ電位比三步過濾測試之後之膠態氧化矽粒子的ζ電位大不到約10毫伏(例如,大不到約7毫伏、大不到約5毫伏、或甚至大不到約2毫伏)。換言之,較佳為在三步過濾測試之後之膠態氧化矽粒子的ζ電位比初始拋光組合物中之膠態氧化矽粒子的ζ電位小不到10毫伏(或不到7毫伏、或不到5毫伏、或不到2毫伏)。舉例而言,在其中初始拋光組合物中之膠態氧化矽粒子的ζ電位係30毫伏之實 施態樣中,三步過濾測試後膠態氧化矽粒子之ζ電位較佳大於20毫伏(或大於23毫伏、或大於25毫伏、或大於28毫伏)。
該拋光組合物可為酸性的,具有小於約7、例如在約2.5至約7之範圍內的pH值。用於銅障壁化學機械拋光應用之拋光組合物可具有約2.5或以上(例如,約3或以上、約3.5或以上、或約4或以上)之pH值。較佳地,該等拋光組合物具有約7或以下(例如,約6.5或以下、約6或以下、或約5.5或以下)之pH值。更佳地,該等拋光組合物具有在約3至約7之範圍內(例如,約3.5至約6.5、或約4至約6)之pH值。
該拋光組合物之pH值可藉由任何適宜之方式達成及/或維持。該拋光組合物可包括實質上任何適宜之pH調節劑或緩衝系統。舉例而言,適宜之pH調節劑可包括硝酸、硫酸、磷酸、苯二甲酸(phthalic acid)、檸檬酸(citric acid)、己二酸、草酸、丙二酸、馬來酸(maleic acid)、氫氧化銨、及諸如此類,而適宜之緩衝劑可包括磷酸鹽、硫酸鹽、乙酸鹽、丙二酸鹽、草酸鹽、硼酸鹽、銨鹽及諸如此類。此外,適宜之銅錯合劑(如以下所描述)亦可緩衝pH值。
拋光組合物可更包含一氧化劑。該氧化劑可在漿液製造製程期間或在即將開始CMP操作(例如,在一位於半導體製造設備之槽中)前添加至拋光組合物。較佳的氧化劑包括無機或有機過氧化合物(per-compound)。如本文所定義之過氧化合物係 為一含有至少一個過氧基團(-O--O-)之化合物或一含有一呈其最高氧化態之元素之化合物。含有至少一個過氧基團之化合物之例子係包括(但不限於)過氧化氫及其加合物(例如脲過氧化氫及過碳酸鹽)、有機過氧化物(例如,過氧化苯甲醯、過乙酸、及二第三丁基過氧化物)、單過硫酸鹽(SO5 =)、二過硫酸鹽(S2O8 =)、及過氧化鈉。含有一呈其最高氧化態之元素之化合物的例子係包括(但不限於)過碘酸、過碘酸鹽、過溴酸、過溴酸鹽、過氯酸、過氯酸鹽、過硼酸、及過硼酸鹽及高錳酸鹽。最佳的氧化劑係為過氧化氫。
作為另一選擇,氧化劑可包括非過氧氧化劑,舉例而言,包括硝酸鹽、氫基胺、碘酸鹽、溴酸鹽、各種漂白劑、及含有金屬之氧化劑(例如含有三價鐵及二價銅之化合物)。
氧化劑可以(例如)約0.01重量%至約10重量%範圍內之量存在於拋光組合物中。在其中使用一過氧化氫氧化劑之較佳實施態樣中,該氧化劑較佳以約0.01重量%至約2重量%範圍內(例如,約0.02重量%至約1重量%、約0.05重量%至約1重量%、或約0.1重量%至約0.5重量%)之量存在於拋光組合物中。
該拋光組合物可更包含一銅錯合劑(亦稱作一螯合劑)。該等螯合劑在液體中傾向與銅離子(及其他銅化合物)作用(例如化學性結合),且因此可在該等組合物中作為銅拋光加速劑。可使用任何實質適宜之銅錯合劑。舉例而言,銅錯合劑可包 括多羧酸(例如草酸、檸檬酸、丙二酸、琥珀酸、戊二酸、己二酸、酒石酸、及/或苯二甲酸)。應了解,酸錯合劑通常可以其共軛形式使用,例如可使用羧酸鹽代替羧酸。如此處所用,用於描述可用之錯合劑之術語「酸」,亦意指該酸之共軛鹼。
適宜之銅錯合劑可更包括多膦酸,(例如)以商品名稱Dequest®(義大利熱那亞市之意特麥祺化學品公司(Italmatch Chemicals,Genova,Italy))販售之膦酸化合物。適宜之多膦酸可包括(例如)亞甲基膦酸化合物及二膦酸化合物(例如1-羥基亞乙基-1,1-二膦酸、胺基三(亞甲基膦酸)、二伸乙基三胺五(亞甲基膦酸)、及雙(六亞甲基三胺五(亞甲基膦酸))。應理解,該等多膦酸通常可以其共軛形式使用,例如,可使用膦酸鹽代替膦酸(如上文針對羧酸所闡述)。
銅錯合劑亦可包括胺基酸,舉例而言,包括甘胺酸、絲胺酸、脯胺酸、白胺酸、丙胺酸、天門冬醯胺酸、麩醯胺酸、纈胺酸、離胺酸、及諸如此類及/或多胺複合體(例如)伸乙二胺四乙酸(EDTA)、N-羥乙基乙二胺三乙酸、氮基三乙酸、亞胺二乙酸、二乙三胺五乙酸、及乙醇亞胺二乙酸鹽(ethanoldiglycinate)。
銅錯合劑可根據所使用之特定錯合劑及所需要之表現特性,以任意適宜量存在於化學機械拋光組合物中。舉例而言,化學機械拋光組合物可包括以重量計約10ppm至約10,000ppm (1%)之銅錯合劑(例如約10ppm至約1000ppm、約10ppm至約500ppm、約20ppm至約500ppm、或約50ppm至約250ppm之銅錯合劑)。
化學機械拋光組合物可更包括一銅拋光抑制劑。該銅拋光抑制劑可包括一可促進被動層(passive layer)形成之成膜劑(film forming agent),且因而抑制銅蝕刻。該等化合物可包括環狀基,例如唑化合物。唑化合物實例包括咪唑、苯并三唑、苯并咪唑、苯并噻唑、及其經羥基、胺基、亞胺基、羧基、巰基、硝基、烷基取代之衍生物。亦可使用脲化合物(例如脲及硫脲)。
作為另一選擇及/或另外地,銅拋光抑制劑可包括具有大於或等於6之碳鏈長度的陰離子表面活性劑及/或兩性表面活性劑,或具有較佳係烷基10或更多碳原子之碳鏈的更多碳原子之陰離子表面活性劑及/或兩性表面活性劑。適宜之化合物包括,舉例而言,Rhodafac®磷酸酯型表面活性劑(羅地亞公司(Rhodia Inc.))、Adeka REASOAP®磺酸型表面活性劑、Amisoft®兩性離子表面活性劑、Mirataine®兩性離子表面活性劑、Perlastan®兩性離子表面活性劑(Struktol®)、Hostapur®磺酸型表面活性劑、Dowfax®磺酸型表面活性劑。
該化學機械拋光組合物可視需要更包含一均勻性添加劑(uniformity additive)用於改善晶圓內拋光速率之均勻性(例如,晶圓邊緣對中心拋光速率比率或差異)。該均勻性添加劑可包 括(例如)聚醚,例如聚乙二醇及聚醚胺;多元醇,例如乙二醇、丙二醇、及聚乙烯醇;以及/或含胺化合物,例如胺基苯酚、羥基吡啶、及溴化十六烷基三甲基銨。
已觀察到所揭示拋光組合物之某些實施態樣,當拋光組合物之電導率為低的時候,達成較高之二氧化矽(TEOS)拋光速率。因此,拋光組合物的例子可有利地具有小於2000微西門子/公分(μS/cm)之電導率(例如,小於1500微西門子/公分、小於1000微西門子/公分、小於800微西門子/公分、小於500微西門子/公分、小於400微西門子/公分、或小於300微西門子/公分)。
該拋光組合物可視需要更包含一殺生物劑。該殺生物劑可為任何適宜之殺生物劑,例如異噻唑啉酮殺生物劑。拋光組合物中之殺生物劑之量可在約1ppm至約50ppm之範圍內,且較佳約1ppm至約20ppm。
該拋光組合物可使用任何適宜之技術來製備,其中之許多技術已為熟習此項技術領域者所知。該拋光組合物可以批次(batch)或連續製程製備。一般而言,該拋光組合物可藉由將其組分以任何順序組合來製備。本文所用之術語「組分」係包括個別成分(例如,膠態氧化矽、銅拋光抑制劑、銅錯合劑等)。
舉例而言,該等膠態氧化矽磨料粒子可在水性液體載劑中生長,如上文所述。接著,可將所得分散液稀釋並(例如) 經由添加一酸將pH值調整至一預定值。接著可添加其他可選組分,例如一銅拋光抑制劑及一銅錯合劑,並藉由能夠將該等組分併入拋光組合物中之任一方法來混合。氧化劑可在拋光組合物之製備期間的任何時間添加。舉例而言,該拋光組合物可在使用之前製備,其中一或多種組分(例如,氧化劑)可在即將開始CMP操作之前添加(例如,在CMP操作之約1分鐘內、或約10分鐘內、或約1小時內、或約1天內、或約1週內)。該拋光組合物亦可在CMP操作期間藉由在基板之表面處(例如,在拋光墊上)混合該等組分來製備。
拋光組合物可作為一包含具有內部化學物質(例如,胺基矽烷化合物)之膠態氧化矽、銅拋光抑制劑及/或銅錯合劑、一可選殺生物劑、及水之單包裝系統提供。氧化劑合意地與拋光組合物之其他組分分開供應且在即將使用之前(例如,使用之前1週或更少、使用之前1天或更少、使用之前1小時或更少、使用之前10分鐘或更少、或使用之前1分鐘或更少)由(例如)最終使用者與拋光組合物之其他組分混合。拋光組合物之該等組分之各種其他二容器、或三或多個容器之組合係在此項技術領域中之通常知識者之知識內。
本發明之拋光組合物可有利地作為濃縮物提供,該濃縮物意欲在使用前用適量水稀釋。在此一實施態樣中,該拋光組合物濃縮物可包含具有如上文所述併入其中之帶正電荷之物質 的膠態氧化矽磨料粒子以及其他可選添加劑,其量使得當用適量水稀釋該濃縮物時,該拋光組合物之各組分將以在上文針對各組分所列舉之適當範圍內之量存在於該拋光組合物中。舉例而言,該等膠態氧化矽磨料粒子可以較上文針對各組分所列舉之濃度大約2倍(例如,約3倍、約4倍、約5倍、或甚至約10倍)之量存在於拋光組合物,致使當濃縮物用等體積之水(例如,分別用2倍等體積之水、3倍等體積之水、4倍等體積之水、或甚至9倍等體積之水)稀釋時,各組分將以在上文所闡明之範圍內之量存在於該拋光組合物中。此外,如此項技術領域中之通常知識者應理解,濃縮物可含有存在於最終拋光組合物中之適當分數之水以確保其他組分至少部分或完全溶於濃縮物中。
在一個實施態樣中,一適宜之濃縮物係包含至少10重量%之分散於一水基底之液體載劑中之上述膠態氧化矽磨料粒子之一者(例如,一包含併入粒子之外表面內之含氮化合物(例如胺基矽烷化合物)或含磷化合物(例如鏻矽烷化合物)之膠態氧化矽)。該等膠態氧化矽粒子可視需要具有一至少10毫伏之永久正電荷。該經濃縮組合物可視需要更包含銅拋光抑制劑及/或銅錯合劑、以及任意上述其他可選組分。而且,組合物之pH值可在約3至約6之範圍內。
儘管本發明之拋光組合物可用於拋光任何基板,但該拋光組合物可特別用於拋光一包含銅、銅障壁層、及介電材料 之基板。銅障壁層可包括,舉例而言,鉭及/或氮化鉭(TaN)、釕、或鈷。介電層可為一金屬氧化物,例如,一源自原矽酸四乙酯(TEOS)之矽氧化物層、多孔金屬氧化物、多孔或非多孔之碳摻雜矽氧化物、氟摻雜矽氧化物、玻璃、有機聚合物、氟化有機聚合物或任何其他適宜之高介電常數或低介電常數絕緣層(例如Black Diamond®低介電常數介電質)。
在一個實施態樣中,一種對一包括銅、銅障壁、及介電材料之基板進行化學機械拋光之方法係利用所揭示拋光組合物中之一者,舉例而言,具有一酸性pH值且少於6重量%之膠態氧化矽磨料粒子。介電材料(例如TEOS)之平均移除速率在1.5磅每平方英吋(psi)之下壓力(downforce)下可高於800埃/分鐘(Å/min)(例如高於1000埃/分鐘)。且在1.5磅每平方英吋之下壓力下,介電質:銅拋光速率選擇性可在約10:1至約1:1之範圍內(例如在約5:1至約2:1之範圍內)。該方法可更包括在1.5磅每平方英吋之下壓力下大於約400埃/分鐘(例如大於約600埃/分鐘)之TaN拋光速率,使得介電質:TaN之拋光速率選擇性可在約3:1至約1:1之範圍內。該等拋光速率可在具有實質上任何適宜直徑之晶圓上(例如,在200毫米直徑晶圓、300毫米直徑晶圓、或450毫米直徑晶圓上)達成。
本發明之拋光方法特別適合於配合化學機械拋光(CMP)裝置使用。通常,該裝置包含一壓板(platen),當使用 時該壓板係運動且具有由軌道運動、線性運動、或圓周運動所產生之速度;一拋光墊,其接觸該壓板且隨該壓板運動而移動;以及一載具,其固持一欲藉由接觸該拋光墊之表面並相對於其移動來拋光之基板。藉由放置該基板接觸拋光墊及本發明之拋光組合物且接著使該拋光墊相對於該基板移動來實施該基板之拋光,以此研磨該基板之至少一部分(例如,本文所述之銅、鉭、氮化鉭、及矽氧化物)以拋光該基板。
一基板可利用該化學機械拋光組合物以及任何適宜之拋光墊(例如,拋光表面)來平坦化或拋光。適宜之拋光墊包括(例如)織物及非織物拋光墊。而且,適宜之拋光墊可包含具有各種密度、硬度、厚度、壓縮性、壓縮後回彈之能力、及壓縮模數之任何適宜之聚合物。適宜之聚合物包括(例如)聚氯乙烯、聚氟乙烯、耐綸(nylon)、氟碳化合物、聚碳酸酯、聚酯、聚丙烯酸酯、聚醚、聚乙烯、聚醯胺、聚胺酯(polyurethane)、聚苯乙烯、聚丙烯、其共同形成的產物、及其混合物。
應理解,本發明包括若干實施態樣。該等實施態樣包括(但不限於)以下實施態樣。
在第一實施態樣中,一化學機械拋光組合物可包含:一水基底之液體載劑;分散於該液體載劑中之膠態氧化矽磨料粒子;併入該等膠態氧化矽磨料粒子之一外表面內之一胺基矽烷化合物或一鏻矽烷化合物;一氧化劑;以及一銅拋光抑制劑及 一銅錯合劑中至少一者。
在第二實施態樣中,一化學機械拋光組合物可包含:一水基底之液體載劑;分散於該液體載劑中之膠態氧化矽磨料粒子;一併入該等膠態氧化矽磨料粒子之一外表面內的化學物質,其中該化學物質係一含氮化合物或一含磷化合物;一氧化劑;一銅拋光抑制劑及一銅錯合劑中至少一者;以及在約3至約7範圍內之pH值;其中該化學物質並非一胺基矽烷化合物。
第三實施態樣可包括第一實施態樣或第二實施態樣中一者,具有在約3至約6範圍內之pH值。
第四實施態樣可包括第一實施態樣至第三實施態樣中之任一者,其中該等膠態氧化矽磨料粒子具有至少13毫伏之永久正電荷。
第五實施態樣可包括第一實施態樣至第四實施態樣中之任一者,其中該等膠態氧化矽磨料粒子具有在約30奈米至約70奈米範圍內之平均粒徑。
第六實施態樣可包括第一實施態樣至第五實施態樣中之任一者,包含約1重量%至約10重量%之該等膠態氧化矽磨料粒子。
第七實施態樣可包括第一實施態樣至第六實施態樣中之任一者,包含約2重量%至約6重量%之該等膠態氧化矽磨料粒 子。
第八實施態樣可包括第一實施態樣至第七實施態樣中之任一者,其中該等膠態氧化矽磨料粒子之30%或以上包括三或更多個聚集之原粒子。
第九實施態樣可包括第一實施態樣至第七實施態樣中之任一者,其中該等膠態氧化矽磨料粒子之50%或以上包括三或更多個聚集之原粒子,且該等膠態氧化矽磨料粒子之20%或以上係為單體或二聚體。
第十實施態樣可包括第一實施態樣及第三實施態樣至第九實施態樣中之任一者,其中該胺基矽烷化合物包含丙基、一級胺、或四級胺。
第十一實施態樣可包括第一實施態樣及第三實施態樣至第十實施態樣中之任一者,其中該胺基矽烷化合物包含雙(2-羥乙基)-3-胺基丙基三烷氧基矽烷、二乙基胺基甲基三烷氧基矽烷、(N,N-二乙基-3-胺基丙基)三烷氧基矽烷、3-(N-苯乙烯基甲基-2-胺基乙基)胺基丙基三烷氧基矽烷、胺基丙基三烷氧基矽烷、(2-N-苄基胺基乙基)-3-胺基丙基三烷氧基矽烷、三烷氧基矽烷基丙基-N,N,N-三甲基銨、N-(三烷氧基矽烷基乙基)苄基-N,N,N-三甲基銨、雙(甲基二烷氧基矽烷基丙基)-N-甲基胺、雙(三烷氧基矽烷基丙基)脲、雙(3-(三烷氧基矽烷基)丙基)-乙二胺、雙(三烷氧基矽烷基丙基)胺、3-胺基丙基三烷氧基矽烷、N-(2- 胺基乙基)-3-胺基丙基甲基二烷氧基矽烷、N-(2-胺基乙基)-3-胺基丙基三烷氧基矽烷、3-胺基丙基甲基二烷氧基矽烷、3-胺基丙基三烷氧基矽烷、(N-三烷氧基矽烷基丙基)聚伸乙基亞胺、三烷氧基矽烷基丙基二伸乙基三胺、N-苯基-3-胺基丙基三烷氧基矽烷、N-(乙烯基苄基)-2-胺基乙基-3-胺基丙基三烷氧基矽烷、4-胺基丁基三烷氧基矽烷、或其混合物。
第十二實施態樣可包括第一實施態樣至第十一實施態樣中之任一者,其中該氧化劑包含過氧化氫。
第十三實施態樣可包括第一實施態樣至第十二實施態樣中之任一者,包含一銅拋光抑制劑及一銅錯合劑兩者。
第十四實施態樣可包括第一實施態樣至第十三實施態樣中之任一者,其中該銅拋光抑制劑係一唑化合物、一具有大於或等於6之碳鏈長度的陰離子表面活性劑或兩性表面活性劑、或其混合物。
第十五實施態樣可包括第一實施態樣至第十四實施態樣中之任一者,其中該銅錯合劑係二羧酸、二膦酸、二磺酸、胺基酸、二胺、或其混合物。
第十六實施態樣可包括第一實施態樣至第十五實施態樣中之任一者,具有小於1000微西門子/公分之電導率。
第十七實施態樣可包括第一實施態樣至第十六實施 態樣中之任一者,其中該等膠態氧化矽磨料粒子具有一核-殼結構(core-shell structure),其中一外殼設置於一內核上,該胺基矽烷化合物或該化學物質係併入該外殼中。
第十八實施態樣可包括第一實施態樣至第十七實施態樣中之任一者,其中該等膠態氧化矽磨料粒子具有高於1.90公克/立方公分之密度。
第十九實施態樣可包括第一實施態樣至第十八實施態樣中之任一者,其中在該等膠態氧化矽磨料粒子中,該胺基矽烷化合物或該化學物質對氧化矽之莫耳比係小於10%。
第二十實施態樣可包括第一或第二實施態樣中之一者,其中:該等膠態氧化矽磨料粒子具有一至少10毫伏之永久正電荷;該組合物包含一銅拋光抑制劑及一銅錯合劑;該銅拋光抑制劑係一唑化合物、一具有大於或等於6之碳鏈長度的陰離子表面活性劑或兩性表面活性劑、或其混合物;該銅錯合劑係多羧酸、多膦酸、二磺酸、胺基酸、二胺、或其混合物;以及該組合物具有一在約3至約6範圍內之pH值。
對包括一銅層、一銅障壁層、及一介電層之一基板進行化學機械拋光之第一方法,可包含:(a)使該基板接觸包括第一實施態樣至第二十實施態樣中任一者之化學機械拋光組合物;(b)使該拋光組合物相對於該基板移動;以及(c)研磨該基板,以自該基板移除該銅層、該銅障壁層、及該介電層之一部分 且藉此拋光該基板。
第二方法可包括第一方法,其中該介電層係矽氧化物,且該介電層在1.5磅每平方英吋或以下之下壓力下之一拋光速率係大於1000埃/分鐘。
第三方法可包括第一方法或第二方法,其中在1.5磅每平方英吋或以下之下壓力下,介電質:銅(dielectric:copper)之拋光速率選擇性係在一約5:1至約2:1之範圍內。
第四方法可包括第一方法至第三方法中之任一者,其中該銅障壁包含TaN,且該TaN在1.5磅每平方英吋或以下之下壓力下之一拋光速率係大於400埃/分鐘。
第五方法可包括第一方法至第四方法中之任一者,其中該銅障壁包含TaN,且介電質:TaN之拋光速率選擇性係在一約3:1至約1:1之範圍內。
用於製備化學機械拋光組合物之第一方法可包括:(a)提供一液體溶液;(b)將該液體溶液、一產生氧化矽之化合物、及一胺基矽烷化合物混合,藉此使膠態氧化矽粒子生長,以獲得一包含膠態氧化矽粒子之分散液,該等膠態氧化矽粒子具有併入其中之該胺基矽烷化合物;以及(c)在該分散液中摻混一銅錯合劑及一銅拋光抑制劑中至少一者,且調整該分散液之pH值至一在約3至約7範圍內之值以得到一化學機械拋光組合物。
第二製備方法可包括第一製備方法,更包含:(d)在一化學機械拋光操作之前添加過氧化氫至該化學機械拋光組合物中。
以下實例係進一步說明本發明,但當然不應理解為以任何方式限制本發明之範圍。
實例1
在此實例中評估各種拋光組合物之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、及氮化鉭(TaN)的拋光速率。各拋光組合物包含具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述之程序併入該殼中。將於實例12所製備之濃縮分散液添加至包括銅錯合劑及銅拋光抑制劑之混合物中,以獲得相應的拋光組合物。製備出九種拋光組合物(1A至1I),各包括具有63奈米之平均粒徑的膠態氧化矽。拋光組合物1A至1F包括3.0重量%之膠態氧化矽及0.5重量%之過氧化氫,而組合物1G至1I包括9.0重量%之膠態氧化矽及0.2重量%之過氧化氫。拋光組合物各具有4.0之pH值。表1A列出在拋光組合物1A至1I中所使用之銅錯合劑及銅拋光抑制劑(其中ATA係3-胺基-1,2,4-三唑、BTA係苯並三唑、銅鐵靈(Cupferron)係N-亞硝基-N-苯羥基胺、且RE-410係乙氧化壬苯酚自由酸之磷酸酯)。
藉由使用一Mirra® CMP工具及一VisionPad® VP3100拋光墊(自陶氏化學公司(Dow Chemical Company)購得)在1.5磅每平方英吋之下壓力、每分鐘93轉(rpm)之壓板速度、及200毫升/分鐘之漿液流動速率下拋光具有適當層之直徑200毫米的晶圓來獲得拋光速率。拋光速率係顯示於表1B中。
自表1B所示之結果顯而易見,包括3重量%之膠態氧化矽的障壁拋光組合物可達成高TEOS移除速率(與顯示於表5B中含有13.3重量%之控制組相較)。此外,使用各種銅拋光抑制及銅錯合添加劑,TEOS:Cu選擇性在約8:1至小於1:1之範圍內係容易達成地。
實例2
在此實例中評估各種拋光組合物之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、及氮化鉭(TaN)的拋光速率。各拋光組合物包含具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述之程序併入該殼中。將於實例12所製備之濃縮分散液添加至包括銅錯合劑及/或銅拋光抑制劑之混合物中,以獲得相應的拋光組合物。製備出八種拋光組合物(2A至2H),各包括4.5重量%具有63奈米之平均粒徑的膠態氧化矽。拋光組合物更包括0.5重量%之過氧化氫。表2A列出組合物中銅拋光抑制劑(BTA)及銅錯合劑(Dequest® 2000)之濃度、以及pH值。
藉由使用Mirra® CMP工具及VisionPad® VP3100拋光墊(自陶氏化學公司購得)在1.5磅每平方英吋之下壓力、每分鐘93轉之壓板速度、及200毫升/分鐘之漿液流動速率下拋光具有適當層之直徑200毫米的晶圓來獲得拋光速率。拋光速率係顯示於表2B中。
表2B
自表2B所示之結果顯而易見,包括4.5重量%之膠態氧化矽的障壁拋光組合物可達成高TEOS移除速率(與顯示於表5B中含有13.3重量%之控制組相較)。此外,使用不包括銅拋光抑制劑及銅錯合劑(2A及2E)、包括銅錯合劑但不包括銅拋光抑制劑(2B及2F)、包括銅拋光抑制劑但不包括銅錯合劑(2C及2G)、包括銅拋光抑制劑及銅錯合劑(2D及2H)之組合物,TEOS:Cu選擇性在約3:1至1:1之範圍內係容易達成地。
實例3
在此實例中評估各種拋光組合物之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、氮化鉭(TaN)、及銅圖案(copper pattern)的拋光速率。各拋光組合物包含具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於 以下實例12中所述之程序併入該殼中。將於實例12所製備之濃縮分散液添加至包括銅錯合劑之液體混合物中,以獲得相應的拋光組合物。製備出四種拋光組合物(3A至3D),各具有63奈米之平均粒徑。拋光組合物3A及3B包括4.5重量%之膠態氧化矽,且組合物3C及3D包括6.0重量%之膠態氧化矽。拋光組合物各包括0.2重量%之過氧化氫,且具有4之pH值。拋光組合物更包括25ppm之以胺基酸為基底之表面活性劑(醯基肌胺酸鹽-Perlastan® C30)。拋光組合物3A及3C包括150ppm之多膦酸錯合劑(Dequest® 2000)。拋光組合物3B及3D包括250ppm之Dequest® 2000。
藉由使用Mirra® CMP工具及VisionPad® VP3100拋光墊(自陶氏化學公司購得)在1.5磅每平方英吋之下壓力、每分鐘93轉之壓板速度、及200毫升/分鐘之漿液流動速率下拋光具有適當層之直徑200毫米的晶圓來獲得拋光速率。圖案化銅移除速率係藉由MIT754圖案化晶圓中100微米之溝槽獲得(自WRS材料(新罕布希爾州曼徹斯特(WRS materials,Manchester,NH))購得)。拋光速率係顯示於表3中。
自表3所示之結果顯而易見,包括4.5重量%或6.0重量%之膠態氧化矽的障壁拋光組合物可達成高TEOS移除速率(與顯示於表5B中含有13.3重量%之控制組相較)。此外,使用Perlastan®C30及Dequest®2000添加劑,TEOS:Cu選擇性在約4:1至1:1之範圍內係容易達成地。
實例4
在此實例中評估各種拋光組合物之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、及氮化鉭(TaN)的拋光速率。各拋光組合物包含具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述之程序併入該殼中。將於實例12所製備之濃縮分散液添加至包括銅錯合劑及銅拋光抑制劑之混合物中,以獲得相應的拋光組合物。製備出四種拋光組合物(4A至4D),各具有3.0重量%之具有63奈米之平均粒徑的膠態氧化矽。拋光組合物各包括0.5重量%之過氧化氫,且具有4之pH值。銅拋光抑制劑(2,4-二羥基苯甲酸-DHBA)及銅錯合劑(胺基三(亞甲基膦酸)-Dequest® 2000)之濃度係如下:(4A)50ppm DHBA、100ppm Dequest® 2000,(4B)50ppm DHBA、250ppm Dequest® 2000,(4C)200ppm DHBA、100ppm Dequest® 2000,及(4D)200ppm DHBA、250ppm Dequest® 2000。
藉由使用Mirra® CMP工具及VisionPad® VP3100拋光墊(自陶氏化學公司購得)在1.5磅每平方英吋之下壓力、每分鐘93轉之壓板速度、及200毫升/分鐘之漿液流動速率下拋光具有適當層之直徑200毫米的晶圓來獲得拋光速率。拋光速率係顯示於表4中。
自表4所示之結果顯而易見,包括3.0重量%之膠態氧化矽的障壁拋光組合物可達成高TEOS移除速率(與顯示於表5B中含有13.3重量%之控制組相較)。此外,使用DHBA及Dequest® 2000添加劑,TEOS:Cu選擇性在約4:1至2:1之範圍內係容易達成地。
實例5
在此實例中評估各種拋光組合物之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、氮化鉭(TaN)、及銅圖案的拋光速率。各拋光組合物包含具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述 之程序併入該殼中。將於實例12所製備之濃縮分散液添加至包括銅錯合劑及銅拋光抑制劑之混合物中,以獲得相應的拋光組合物。製備出四種拋光組合物(5A至5D),各具有3.0重量%之具有63奈米之平均粒徑的膠態氧化矽。第5種拋光組合物(控制組)包括市售iCue® B7002(自卡博特微電子,依利諾州奧羅拉(Cabot Microelectronics,Aurora,Illinois)購得)。控制組包括13.3重量%之市售膠態氧化矽、0.54重量%之乙酸鉀、350ppm β-丙胺酸錯合劑、及1.0重量%之BTA銅拋光抑制劑、以及殺生物劑及去沫劑。拋光組合物5A至5D更包括0.2重量%之過氧化氫。控制組組合物更包括1.0重量%之過氧化氫。組合物5A至5D及控制組之膠態氧化矽(固體)、兩性表面活性劑、銅拋光抑制劑(月桂亞胺基二丙酸鈉-Mirataine® HC2-HA)、及銅錯合劑(吡啶羧酸)之濃度,以及pH值列示於表5A。
藉由使用Mirra® CMP工具及VisionPad ® VP3100拋光墊(自陶氏化學公司購得)在1.5磅每平方英吋之下壓力、每 分鐘93轉之壓板速度、及200毫升/分鐘之漿液流動速率下拋光具有適當層之直徑200毫米的晶圓來獲得拋光速率。圖案化銅移除速率係藉由MIT754圖案化晶圓中100微米之溝槽獲得(自WRS材料(新罕布希爾州曼徹斯特)購得)。拋光速率係顯示於表5B中。
自表5B所示之結果顯而易見,包括3.0重量%之膠態氧化矽的障壁拋光組合物可達成高TEOS移除速率(與控制組之13.3重量%相較)。此外,使用Mirataine® HC2-HA及吡啶羧酸添加劑,TEOS:Cu選擇性在約9:1至3:1之範圍內係容易達成地。TEOS:圖案化Cu選擇性在約4:1至2:1之範圍內亦係容易達成地。
實例6
在此實例中評估各種拋光組合物之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、氮化鉭(TaN)、及銅圖案的拋光速率。各拋光組合物包含具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙 基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述之程序併入該殼中。將於實例12所製備之濃縮分散液添加至包括銅錯合劑及銅拋光抑制劑之混合物中,以獲得相應的拋光組合物。製備出四種拋光組合物(6A至6D),各具有3.0重量%之具有63奈米之平均粒徑的膠態氧化矽。拋光組合物6A至6D更包括0.2重量%之過氧化氫且具有4.9之pH值。兩性表面活性劑銅拋光抑制劑(單三乙醇胺N-椰子油脂醯-L-谷胺酸-Amisoft® CT-12)及銅錯合劑(吡啶羧酸)之濃度係如下:(6A)30ppm表面活性劑、50ppm吡啶羧酸,(6B)30ppm表面活性劑、250ppm吡啶羧酸,(6C)60ppm表面活性劑、50ppm吡啶羧酸,及(6D)60ppm表面活性劑、250ppm吡啶羧酸。
藉由使用Mirra® CMP工具及VisionPad® VP3100拋光墊(自陶氏化學公司購得)在1.5磅每平方英吋之下壓力、每分鐘93轉之壓板速度、及200毫升/分鐘之漿液流動速率下拋光具有適當層之直徑200毫米的晶圓來獲得拋光速率。圖案化銅移除速率係藉由MIT754圖案化晶圓中100微米之溝槽獲得(自WRS材料(新罕布希爾州曼徹斯特)購得)。拋光速率係顯示於表6中。
表6
自表6所示之結果顯而易見,包括3.0重量%之膠態氧化矽的障壁拋光組合物可達成高TEOS移除速率(與顯示於表5B中含有13.3重量%之控制組相較)。此外,使用Amisoft® CT-12及吡啶羧酸添加劑,TEOS:Cu選擇性在約20:1至5:1之範圍內係容易達成地。TEOS:圖案化Cu選擇性在約6:1至2:1之範圍內亦係容易達成地。
實例7
在此實例中評估各種拋光組合物之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、及氮化鉭(TaN)的拋光速率。各拋光組合物包含具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述之程序併入該殼中。將於實例12所製備之濃縮分散液添加至包括銅拋光抑制劑及兩性表面活性劑之混合物中,以獲得相應的拋光組合物。製備出三種拋光組合物(7A至7C),各具有3.0重量%之具有63奈米之平均粒徑的膠態氧化矽。拋光組合物各包括0.5重量%之過氧化氫且具有3.9之pH值。銅拋光抑制劑(3-胺基-1,2,4-三唑-ATA) 及兩性表面活性劑(椰油醯胺丙基羥基磺基甜菜鹼-Mirataine® CBS)之濃度係如下:(7A)250ppm ATA、25ppm表面活性劑,(7B)250ppm ATA、50ppm表面活性劑,及(7C)100ppm ATA、50ppm表面活性劑。
藉由使用Mirra® CMP工具及VisionPad® VP3100拋光墊(自陶氏化學公司購得)在1.5磅每平方英吋之下壓力、每分鐘93轉之壓板速度、及200毫升/分鐘之漿液流動速率下拋光具有適當層之直徑200毫米的晶圓來獲得拋光速率。拋光速率係顯示於表7中。
自表7所示之結果顯而易見,包括3.0重量%之膠態氧化矽的障壁拋光組合物可達成高TEOS移除速率(與顯示於表5B中含有13.3重量%之控制組相較)。此外,使用ATA及Mirataine® CBS添加劑,TEOS:Cu選擇性在約2:1至1:1之範圍內係容易達成地。
實例8
在此實例中評估各種拋光組合物之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、及氮化鉭(TaN)的拋光速率。各拋光組合物包含具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述之程序併入該殼中。將於實例12所製備之濃縮分散液添加至包括銅錯合劑及銅拋光抑制劑之混合物中,以獲得相應的拋光組合物。製備出四種拋光組合物(8A至8D),各具有9.0重量%之具有63奈米之平均粒徑的膠態氧化矽。拋光組合物各包括0.5重量%之過氧化氫且具有4之pH值。拋光組合物各更包括12ppm之銅拋光抑制劑(乙氧化壬苯酚自由酸之磷酸酯-RE-410)。殺生物劑(在二丙二醇及水中之1,2-苯並異噻唑啉-3-酮-Proxel® GXL)、及銅錯合劑(Dequest® 2000)之濃度係如下:(8A)50ppm Proxel® GXL、125ppm Dequest® 2000,(8B)50ppm Proxel® GXL、250ppm Dequest® 2000,(8C)350ppm Proxel® GXL、125ppm Dequest® 2000,及(8D)350ppm Proxel® GXL、250ppm Dequest® 2000。
藉由使用Mirra® CMP工具及VisionPad® VP3100拋光墊(自陶氏化學公司購得)在1.5磅每平方英吋之下壓力、每分鐘93轉之壓板速度、及200毫升/分鐘之漿液流動速率下拋光具有適當層之直徑200毫米的晶圓來獲得拋光速率。拋光速率係顯示於表8中。
表8
自表8所示之結果顯而易見,包括3.0重量%之膠態氧化矽的障壁拋光組合物可達成高TEOS移除速率(與顯示於表5B中含有13.3重量%之控制組相較)。此外,使用RE-410、Proxel、及Dequest® 2000添加劑,TEOS:Cu選擇性在約4:1至1:1之範圍內係容易達成地。
實例9
膠態氧化矽式樣之ζ電位測量及傳導性測量係在過濾前及過濾後得到。200毫升體積之各組合物係藉由Millipore Ultracell再生纖維素超濾盤(具有100,000道爾頓之分子量截止值及6.3奈米之孔徑)來過濾。收集剩餘分散液(由超濾盤保留),並用使用硝酸調整至初始pH值(=3)之去離子水補充至初始體積(200毫升)。此程序重複總共三個超過濾循環(各個循環包括一超過濾步驟及一補充步驟)。拋光組合物之ζ電位及電傳導性在超過濾程序前及超過濾程序後測量(即,測量原始拋光組合物及經三次超過濾、補充之拋光組合物)。ζ電位使用DT-1202型超聲及電聲譜分析儀測量(自分散液技術公司購得)。
表9顯示拋光組合物9A及控制拋光組合物9B及9C之經測量ζ電位及傳導率。拋光組合物在pH值3下,各包括3重量%之膠態氧化物。拋光組合物9A包括具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述之程序併入該殼中。膠態氧化矽粒徑係54奈米。拋光組合物9B含有表面經處理之膠態氧化矽,其中3-(胺基丙基)三甲氧基矽烷係鍵結至粒子表面,而拋光組合物9C含有具有與氫氧化四丁銨結合之表面的膠態氧化矽。在組合物9B及9C中使用之膠態氧化矽具有55奈米之原粒徑,及100奈米之聚集(二次)粒徑。如上所述,原始拋光組合物之ζ電位及傳導率係在上述超過濾程序之前及之後測量。經三次超過濾、補充之拋光組合物9B之經校正ζ電位值係在添加用以校正離子強度差異之KCl後獲得。
自表9所示之結果顯而易見,拋光組合物9A中膠態氧化矽之ζ電位實質上未被過濾改變,表示具有內胺基矽烷之膠態氧化矽具有一5毫伏至39毫伏之永久正電荷。亦觀察到以3- (胺基丙基)三甲氧基矽烷處理之膠態氧化矽粒子(9B)具有一約41毫伏之永久正電荷,而以氫氧化四丁銨處理之膠態氧化矽粒子(9C)的ζ電位自10毫伏減少至3毫伏,表示該正電荷並非永久的。
實例10
在此實例中評估二種拋光組合物之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、及氮化鉭(TaN)的拋光速率。比較拋光組合物10A包括購自日本東京之扶桑化學公司(Fuso Chemical Company,Tokyo Japan)之PL-3C膠態氧化矽。PL-3C係一表面經處理之膠態氧化矽,其以胺基丙基三烷氧基矽烷處理(鍵結)該膠態氧化矽表面。拋光組合物10B包括具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述之程序併入該殼中。拋光組合物在4.0之pH值下各包括3.0重量%之膠態氧化矽、0.03重量%之吡啶羧酸、0.003重量%之N-椰子油脂醯-L-谷胺酸三乙醇銨錯合物、0.03重量%之β-環糊精、0.5重量%之過氧化氫。
表10A顯示比較組(10A)及本發明(10B)拋光組合物之平均粒徑及ζ電位。
表10B顯示拋光組合物10A及10B之矽氧化物(TEOS)、銅(Cu)、black diamond®低介電常數介電質(BD1)、及氮化鉭(TaN)的拋光速率。藉由使用Mirra® CMP工具及IC1010拋光墊(自陶氏化學公司購得)在1.5磅每平方英吋之下壓力、每分鐘93轉之壓板速度、及200毫升/分鐘之漿液流動速率下拋光具有適當層之直徑200毫米的晶圓來獲得拋光速率。
自表10B所示之結果顯而易見,發明拋光組合物10B具有一較比較拋光組合物10A大超過4倍之TEOS拋光速率。此外,發明拋光組合物表現一較比較拋光組合物大超過2倍之TaN拋光速率。發明組合物亦表現較高之black diamond及銅拋光速率。
實例11
在此實例中評估二種濃縮拋光組合物之儲存壽命穩定性。比較拋光組合物11A包括購自日本東京之扶桑化學公司的PL-3C膠態氧化矽。PL-3C係一表面經處理之膠態氧化矽,其以胺基丙基三烷氧基矽烷處理(鍵結)該膠態氧化矽表面。拋光組合 物11B包括具有一核殼結構之膠態氧化矽磨料粒子,其中水解或部分水解之胺基丙基三烷氧基矽烷(胺基矽烷)係使用一類似於以下實例12中所述之程序併入該殼中。拋光組合物在4.0之pH值下各包括9重量%之膠態氧化矽、0.08重量%之吡啶羧酸、0.009重量%之N-椰子油脂醯-L-谷胺酸三乙醇銨錯合物、0.08重量%之β-環糊精。表11顯示二種組合物之儲存壽命穩定性的數據。
自表11所示之結果顯而易見,本發明濃縮拋光組合物11B明顯較比較濃縮拋光組合物11A穩定。五天後比較組合物被觀察到形成大聚集粒子(>1微米),該大聚集粒子自懸浮液沉降出來。本發明組合物係穩定地,並未觀察到沉降且並未隨著時間改變平均粒徑。
實例12
如下製備一化學機械拋光組合物。將2,604公克數量之BS-1H膠態氧化矽分散液(10.5重量%的具有約35奈米之平均粒徑之膠態氧化矽分散液,自日本東京之扶桑化學公司(Fuso Chemical)公司購得)添加至5,882公克之去離子水。將3-乙氧基丙基胺(EOPA)添加至混合物以將pH值調整至10,且由此獲得一母液。接著將該母液加熱至80℃。將1872.3公克的四甲氧基矽 烷及16.3公克的3-胺基丙基三甲氧基矽烷之混合物在180分鐘之持續時間內以一恆定速率添加至該母液(以約10.5公克/分鐘之速率),同時將液體溫度保持在80℃。獲得一包含膠態氧化矽粒子之膠態氧化矽分散液,其中該等膠態氧化矽粒子具有一含有胺基矽烷(或水解或部分水解之胺基矽烷)之氧化矽外殼。藉由在常壓下加熱蒸餾將此膠態氧化矽分散液濃縮至4,600毫升。將3,000毫升體積之去離子水添加至分散液以在蒸餾期間取代甲醇(並維持體積)。最終分散液具有大約20.1重量%之膠態氧化矽濃度。
本文所引用之所有參考文獻(包括出版物、專利申請案、及專利)均以引用方式併入本文中,其併入程度如同各參考文獻均個別且特別指示以引用方式併入本文中且其全文闡釋於本文中一般。
除非本文另外指示或上下文明顯矛盾,否則,在闡述本發明之上下文中(尤其在隨附申請專利範圍之上下文中)所用術語「一(a、an)」及「該(the)」皆理解為涵蓋單數與複數二者。除非另有說明,否則,術語「包含(comprising)」、「具有(having)」、「包括(including)」、及「含有(containing)」皆理解為開放式術語(即,意味著「包含,但不限於(including,but not limitedto)」)。除非本文另外指出,否則,本文列舉之值的範圍僅意欲作為個別查閱此範圍內各單獨值之一速記方法,且各單獨值皆如其在本文中個別引用一般併入本說明書中。除非本文 另有說明或上下文明顯矛盾,否則,本文所述之所有方法可以任何適宜之順序執行。除非另外闡明,否則本文所提供之任何及所有實例、或例示性語言(例如,「例如(such as)」)之使用僅欲用於更佳地描述本發明而非對本發明範圍加以限制。本說明書中之任何語言均不應理解為指示任何未主張要素係實踐本發明必不可少的。
本文係闡述本發明之較佳實施態樣,包括發明者已知用於實施本發明之最佳模式。此項技術領域中之通常知識者在閱讀上述說明後,彼等較佳實施態樣之改變可變得顯而易見。本發明者期望熟習此項技術者適當使用此等改變,且本發明者期望本發明可以不同於本文特定闡述之方式實施。因此,本發明包含適用法律所允許之本文隨附申請專利範圍中所列舉之標的物的所有潤飾及等效物。而且,除非本文另有說明或上下文明顯矛盾,否則,在所有可能改變中上述要素之任何組合皆涵蓋於本發明中。
1‧‧‧由單個原粒子組成之粒子
2‧‧‧由二個聚集之原粒子組成之粒子
3‧‧‧由三個聚集之原粒子組成之粒子
4‧‧‧由四個聚集之原粒子組成之粒子
5‧‧‧由五個聚集之原粒子組成之粒子
6‧‧‧由六個聚集之原粒子組成之粒子
20‧‧‧大粒子

Claims (26)

  1. 一種化學機械拋光組合物,包含一水基底之液體載劑;分散於該液體載劑中之膠態氧化矽磨料粒子;一胺基矽烷化合物或一鏻矽烷(phosphonium silane)化合物,併入該等膠態氧化矽磨料粒子之一外表面內;一氧化劑;以及一銅拋光抑制劑及一銅錯合劑中至少一者,其中該等膠態氧化矽磨料粒子具有一至少13毫伏(mV)之永久正電荷(permanent positive charge)。
  2. 一種化學機械拋光組合物,包含:一水基底之液體載劑;分散於該液體載劑中之膠態氧化矽磨料粒子;一併入該等膠態氧化矽磨料粒子之一外表面內的化學物質,其中該化學物質係一含氮化合物或一含磷化合物;一氧化劑;一銅拋光抑制劑及一銅錯合劑中至少一者;以及一在約3至約7範圍內之pH值; 其中該化學物質非為一胺基矽烷化合物,且該等膠態氧化矽磨料粒子具有一至少13毫伏之永久正電荷。
  3. 如請求項1至2中任一項所述之組合物,具有一在約3至約6範圍內之pH值。
  4. 如請求項1至2中任一項所述之組合物,其中該等膠態氧化矽磨料粒子具有一在約30奈米(nm)至約70奈米範圍內之平均粒徑。
  5. 如請求項1至2中任一項所述之組合物,包含約1重量%至約10重量%之該等膠態氧化矽磨料粒子。
  6. 如請求項1至2中任一項所述之組合物,包含約2重量%至約6重量%之該等膠態氧化矽磨料粒子。
  7. 如請求項1至2中任一項所述之組合物,其中該等膠態氧化矽磨料粒子之30%或以上包括三或更多個聚集之原粒子(primary particle)。
  8. 如請求項1至2中任一項所述之組合物,其中該等膠態氧化矽磨料粒子之50%或以上包括三或更多個聚集之原粒子,且該等膠態氧化矽磨料粒子之20%或以上係為單體或二聚體。
  9. 如請求項1所述之組合物,其中該胺基矽烷化合物包含丙 基、一級胺、或四級胺。
  10. 如請求項1所述之組合物,其中該胺基矽烷化合物包含雙(2-羥乙基)-3-胺基丙基三烷氧基矽烷、二乙基胺基甲基三烷氧基矽烷、(N,N-二乙基-3-胺基丙基)三烷氧基矽烷、3-(N-苯乙烯基甲基-2-胺基乙基)胺基丙基三烷氧基矽烷、胺基丙基三烷氧基矽烷、(2-N-苄基胺基乙基)-3-胺基丙基三烷氧基矽烷、三烷氧基矽烷基丙基-N,N,N-三甲基銨(trialkoxysilyl propyl-N,N,N-trimethyl ammonium)、N-(三烷氧基矽烷基乙基)苄基-N,N,N-三甲基銨、雙(甲基二烷氧基矽烷基丙基)-N-甲基胺、雙(三烷氧基矽烷基丙基)脲、雙(3-(三烷氧基矽烷基)丙基)-乙二胺、雙(三烷氧基矽烷基丙基)胺、3-胺基丙基三烷氧基矽烷、N-(2-胺基乙基)-3-胺基丙基甲基二烷氧基矽烷、N-(2-胺基乙基)-3-胺基丙基三烷氧基矽烷、3-胺基丙基甲基二烷氧基矽烷、3-胺基丙基三烷氧基矽烷、(N-三烷氧基矽烷基丙基)聚伸乙基亞胺、三烷氧基矽烷基丙基二伸乙基三胺、N-苯基-3-胺基丙基三烷氧基矽烷、N-(乙烯基苄基)-2-胺基乙基-3-胺基丙基三烷氧基矽烷、4-胺基丁基三烷氧基矽烷、或其混合物。
  11. 如請求項1至2中任一項所述之組合物,其中該氧化劑包含過氧化氫。
  12. 如請求項1至2中任一項所述之組合物,包含一銅拋光抑制劑及一銅錯合劑兩者。
  13. 如請求項1至2中任一項所述之組合物,其中該銅拋光抑制劑係一唑化合物、一具有大於或等於6之碳鏈長度的陰離子表面活性劑或兩性表面活性劑、或其混合物。
  14. 如請求項1至2中任一項所述之組合物,其中該銅錯合劑係二羧酸、二膦酸、二磺酸、胺基酸、二胺、或其混合物。
  15. 如請求項1至2中任一項所述之組合物,具有一小於1000微西門子/公分(μS/cm)之電導率。
  16. 如請求項1至2中任一項所述之組合物,其中該等膠態氧化矽磨料粒子具有一核-殼結構(core-shell structure),其中一外殼設置於一內核上,該胺基矽烷化合物或該化學物質係併入該外殼中。
  17. 如請求項1至2中任一項所述之組合物,其中該等膠態氧化矽磨料粒子具有一大於1.90公克/立方公分(g/cm3)之密度。
  18. 如請求項1至2中任一項所述之組合物,其中,在該等膠態氧化矽磨料粒子中,該胺基矽烷化合物或該化學物質對氧化矽之莫耳比係小於10%。
  19. 如請求項1至2中任一項所述之組合物,其中;該等膠態氧化矽磨料粒子具有一至少13毫伏之永久正電荷;該組合物包含一銅拋光抑制劑及一銅錯合劑兩者;該銅拋光抑制劑係一唑化合物、一具有大於或等於6之碳鏈長度的陰離子表面活性劑或兩性表面活性劑、或其混合物;該銅錯合劑係多羧酸、多膦酸、二磺酸、胺基酸、二胺、或其混合物;以及該組合物具有一在約3至約6範圍內之pH值。
  20. 一種對包括一銅層、一銅障壁層、及一介電層之一基板進行化學機械拋光之方法,該方法包含.(a)使該基板接觸如請求項1至2中任一項所述之化學機械拋光組合物;(b)使該拋光組合物相對於該基板移動;以及(c)研磨該基板,以自該基板移除該銅層、該銅障壁 層、及該介電層之一部分且藉此拋光該基板。
  21. 如請求項20所述之方法,其中該介電層係矽氧化物,且該介電層在1.5磅每平方英吋(psi)或以下之下壓力下之一拋光速率係大於1000埃/分鐘。
  22. 如請求項20所述之方法,其中在1.5磅每平方英吋或以下之下壓力下,介電質:銅(dielectric:copper)之拋光速率選擇性係在一約5:1至約2:1之範圍內。
  23. 如請求項20所述之方法,其中該銅障壁層包含TaN,且該TaN在1.5磅每平方英吋或以下之下壓力下之一拋光速率係大於400埃/分鐘。
  24. 如請求項20所述之方法,其中該銅障壁層包含TaN,且介電質:TaN之拋光速率選擇性係在一約3:1至約1:1之範圍內。
  25. 一種製備化學機械拋光組合物之方法,該方法包含:(a)提供一液體溶液;(b)將該液體溶液、一產生氧化矽之化合物、及一胺基矽烷化合物混合,藉此使膠態氧化矽粒子生長,以獲得一包含膠態氧化矽粒子之分散液,該等膠態氧化矽粒子具有併入其中之該胺基矽烷化合物;以及 (c)在該分散液中摻混一銅錯合劑及一銅拋光抑制劑中至少一者,且調整該分散液之pH值至一在約3至約7範圍內之值以得到一化學機械拋光組合物。
  26. 如請求項25所述之方法,更包含:(d)在一化學機械拋光操作之前添加過氧化氫至該化學機械拋光組合物中。
TW104120493A 2014-06-25 2015-06-25 銅障壁層化學機械拋光組合物 TWI564380B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462017100P 2014-06-25 2014-06-25
US201462017073P 2014-06-25 2014-06-25

Publications (2)

Publication Number Publication Date
TW201614034A TW201614034A (en) 2016-04-16
TWI564380B true TWI564380B (zh) 2017-01-01

Family

ID=54929831

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104120493A TWI564380B (zh) 2014-06-25 2015-06-25 銅障壁層化學機械拋光組合物

Country Status (8)

Country Link
US (1) US9556363B2 (zh)
EP (1) EP3161095B8 (zh)
JP (2) JP6612790B2 (zh)
KR (1) KR102444548B1 (zh)
CN (1) CN106661431B (zh)
SG (1) SG11201610332PA (zh)
TW (1) TWI564380B (zh)
WO (1) WO2015200684A1 (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5646996B2 (ja) * 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
US9771496B2 (en) * 2015-10-28 2017-09-26 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant and cyclodextrin
US9631122B1 (en) * 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
EP3400266B1 (en) * 2016-01-06 2022-08-24 CMC Materials, Inc. Method of polishing a low-k substrate
US10421890B2 (en) 2016-03-31 2019-09-24 Versum Materials Us, Llc Composite particles, method of refining and use thereof
US10253216B2 (en) * 2016-07-01 2019-04-09 Versum Materials Us, Llc Additives for barrier chemical mechanical planarization
WO2018012173A1 (ja) * 2016-07-15 2018-01-18 株式会社フジミインコーポレーテッド 研磨用組成物、研磨用組成物の製造方法および研磨方法
CN110997856B (zh) * 2017-08-09 2021-10-29 昭和电工材料株式会社 研磨液和研磨方法
US10711158B2 (en) * 2017-09-28 2020-07-14 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous silica slurry and amine carboxylic acid compositions for use in shallow trench isolation and methods of using them
US10508221B2 (en) * 2017-09-28 2019-12-17 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Aqueous low abrasive silica slurry and amine carboxylic acid compositions for use in shallow trench isolation and methods of making and using them
US10995238B2 (en) * 2018-07-03 2021-05-04 Rohm And Haas Electronic Materials Cmp Holdings Neutral to alkaline chemical mechanical polishing compositions and methods for tungsten
KR102576499B1 (ko) * 2018-09-06 2023-09-07 동우 화인켐 주식회사 Cmp용 실리카 입자 및 이의 제조방법
WO2020091242A1 (ko) * 2018-10-31 2020-05-07 영창케미칼 주식회사 구리 배리어층 연마용 슬러리 조성물
US10968366B2 (en) 2018-12-04 2021-04-06 Cmc Materials, Inc. Composition and method for metal CMP
US10988635B2 (en) 2018-12-04 2021-04-27 Cmc Materials, Inc. Composition and method for copper barrier CMP
JP7065763B2 (ja) * 2018-12-27 2022-05-12 富士フイルム株式会社 薬液、被処理物の処理方法
GB201904918D0 (en) * 2019-04-08 2019-05-22 Givaudan Sa Improvements in or relating to organic compounds
WO2021005980A1 (ja) * 2019-07-05 2021-01-14 富士フイルム株式会社 組成物、キット、基板の処理方法
KR102525287B1 (ko) * 2019-10-18 2023-04-24 삼성에스디아이 주식회사 구리 막 연마용 cmp 슬러리 조성물 및 이를 이용한 구리 막 연마 방법
KR102570805B1 (ko) * 2019-11-01 2023-08-24 삼성에스디아이 주식회사 텅스텐 패턴 웨이퍼 연마용 cmp 슬러리 조성물 및 이를 이용한 텅스텐 패턴 웨이퍼 연마 방법
KR102367056B1 (ko) * 2020-02-27 2022-02-25 주식회사 케이씨텍 화학적 기계적 연마용 슬러리 조성물
US11384254B2 (en) * 2020-04-15 2022-07-12 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition containing composite silica particles, method of making the silica composite particles and method of polishing a substrate
TW202220045A (zh) * 2020-09-25 2022-05-16 日商福吉米股份有限公司 化學機械研磨漿料、化學機械研磨組合物、用於研磨表面的方法、及緩衝金屬氧化物鹽的方法
CN113249175B (zh) * 2021-04-27 2023-03-24 上海新阳半导体材料股份有限公司 一种化学机械抛光后清洗液的应用
KR20220149148A (ko) * 2021-04-30 2022-11-08 에스케이씨솔믹스 주식회사 반도체 공정용 연마 조성물 및 연마 조성물을 적용한 반도체 소자의 제조 방법
KR20230172348A (ko) * 2022-06-15 2023-12-22 에스케이엔펄스 주식회사 반도체 공정용 조성물 및 이를 이용한 반도체 소자 제조방법
CN115093795B (zh) * 2022-07-04 2023-09-01 深圳市永霖科技有限公司 一种面向半导体晶圆超精密抛光的磁流变抛光液
WO2024191746A1 (en) * 2023-03-15 2024-09-19 Versum Materials Us, Llc Soft polysiloxane core-shell abrasives for chemical mechanical planarization

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030209522A1 (en) * 1999-07-07 2003-11-13 Cabot Microelectronics Corporation CMP composition containing silane-modified abrasive particles
US20090081927A1 (en) * 2007-09-21 2009-03-26 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
US20100075502A1 (en) * 2008-09-19 2010-03-25 Cabot Microelectronics Corporation Barrier slurry for low-k dielectrics

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5230833A (en) 1989-06-09 1993-07-27 Nalco Chemical Company Low sodium, low metals silica polishing slurries
DE69734868T2 (de) 1996-07-25 2006-08-03 Dupont Air Products Nanomaterials L.L.C., Tempe Zusammensetzung und verfahren zum chemisch-mechanischen polieren
US5958288A (en) 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US7077880B2 (en) 2004-01-16 2006-07-18 Dupont Air Products Nanomaterials Llc Surface modified colloidal abrasives, including stable bimetallic surface coated silica sols for chemical mechanical planarization
US6776810B1 (en) 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US20030162398A1 (en) 2002-02-11 2003-08-28 Small Robert J. Catalytic composition for chemical-mechanical polishing, method of using same, and substrate treated with same
US7022255B2 (en) 2003-10-10 2006-04-04 Dupont Air Products Nanomaterials Llc Chemical-mechanical planarization composition with nitrogen containing polymer and method for use
WO2007018069A1 (ja) * 2005-08-10 2007-02-15 Catalysts & Chemicals Industries Co., Ltd. 異形シリカゾルおよびその製造方法
TWI385226B (zh) * 2005-09-08 2013-02-11 羅門哈斯電子材料Cmp控股公司 用於移除聚合物阻障之研磨漿液
US8106229B2 (en) 2006-05-30 2012-01-31 Nalco Company Organically modifid silica and use thereof
TW200817497A (en) * 2006-08-14 2008-04-16 Nippon Chemical Ind Polishing composition for semiconductor wafer, production method thereof, and polishing method
US20090031636A1 (en) * 2007-08-03 2009-02-05 Qianqiu Ye Polymeric barrier removal polishing slurry
JP5275595B2 (ja) * 2007-08-29 2013-08-28 日本化学工業株式会社 半導体ウエハ研磨用組成物および研磨方法
US7994057B2 (en) 2007-09-21 2011-08-09 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
JP5428205B2 (ja) * 2008-06-04 2014-02-26 日立化成株式会社 金属用研磨液
JP5314329B2 (ja) * 2008-06-12 2013-10-16 富士フイルム株式会社 研磨液
KR101626179B1 (ko) * 2008-09-26 2016-05-31 후소카가쿠코교 가부시키가이샤 굴곡 구조 및/또는 분지 구조를 갖는 실리카 이차 입자를 함유하는 콜로이달 실리카 및 그의 제조 방법
JP2011216582A (ja) 2010-03-31 2011-10-27 Fujifilm Corp 研磨方法、および研磨液
US20110318928A1 (en) * 2010-06-24 2011-12-29 Jinru Bian Polymeric Barrier Removal Polishing Slurry
KR101243331B1 (ko) 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
US8980122B2 (en) * 2011-07-08 2015-03-17 General Engineering & Research, L.L.C. Contact release capsule useful for chemical mechanical planarization slurry
KR101349758B1 (ko) 2011-12-26 2014-01-10 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
JP5972660B2 (ja) 2012-03-28 2016-08-17 株式会社アドマテックス コロイドシリカの製造方法及びcmp用スラリーの製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030209522A1 (en) * 1999-07-07 2003-11-13 Cabot Microelectronics Corporation CMP composition containing silane-modified abrasive particles
US20090081927A1 (en) * 2007-09-21 2009-03-26 Cabot Microelectronics Corporation Polishing composition and method utilizing abrasive particles treated with an aminosilane
US20100075502A1 (en) * 2008-09-19 2010-03-25 Cabot Microelectronics Corporation Barrier slurry for low-k dielectrics

Also Published As

Publication number Publication date
JP2017525796A (ja) 2017-09-07
US20150376463A1 (en) 2015-12-31
KR20170026492A (ko) 2017-03-08
SG11201610332PA (en) 2017-02-27
WO2015200684A1 (en) 2015-12-30
EP3161095A4 (en) 2018-07-11
CN106661431A (zh) 2017-05-10
KR102444548B1 (ko) 2022-09-20
EP3161095B1 (en) 2021-05-19
JP6928040B2 (ja) 2021-09-01
JP6612790B2 (ja) 2019-11-27
CN106661431B (zh) 2019-06-28
US9556363B2 (en) 2017-01-31
EP3161095B8 (en) 2021-07-07
EP3161095A1 (en) 2017-05-03
JP2019206718A (ja) 2019-12-05
TW201614034A (en) 2016-04-16

Similar Documents

Publication Publication Date Title
TWI564380B (zh) 銅障壁層化學機械拋光組合物
JP6612789B2 (ja) タングステンの化学機械研磨組成物
TWI659078B (zh) 具有陽離子界面活性劑及環糊精的鎢加工漿液
KR102408747B1 (ko) 혼합 마모제 텅스텐 cmp 조성물
KR102390111B1 (ko) 혼합 마모제 텅스텐 cmp 조성물
JP2017525796A5 (zh)
KR102709711B1 (ko) 촉매를 함유하는 텅스텐 처리 슬러리
JP6889156B2 (ja) カチオン性界面活性剤を含むタングステン処理スラリー
KR102390227B1 (ko) 텅스텐 버핑용 조성물
TW201610124A (zh) 膠態氧化矽化學機械拋光組合物