JP2019515322A - Euvリソグラフィ用のメンブレン - Google Patents

Euvリソグラフィ用のメンブレン Download PDF

Info

Publication number
JP2019515322A
JP2019515322A JP2018548190A JP2018548190A JP2019515322A JP 2019515322 A JP2019515322 A JP 2019515322A JP 2018548190 A JP2018548190 A JP 2018548190A JP 2018548190 A JP2018548190 A JP 2018548190A JP 2019515322 A JP2019515322 A JP 2019515322A
Authority
JP
Japan
Prior art keywords
membrane
metal
layer
base layer
capping layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018548190A
Other languages
English (en)
Other versions
JP7009380B2 (ja
Inventor
ナザレヴィッチ,マキシム,アレクサンドロヴィッチ
アベッグ,エリック,アキレス
バナジー,ニルパム
ブラウ,ミシェル,アレクサンダー
ブラウンス,デルク,ゼルヴァーティウス,ゲートルダ
ヤンセン,ポール
クリュイツィンガ,マティアス
レンダーリンク,エグバート
マキシム,ニコラエ
ニキペロフ,アンドレイ
ノッテンブーム,アーノウド,ウィレム
ピリエゴ,クラウディア
ペーテル,マリア
リスペンス,ガイスベルト
シュー,ナジャ
デ ケルクホフ,マーカス,アドリアヌス ヴァン
デ ケルクホフ,マーカス,アドリアヌス ヴァン
デル ザンデ,ウィレム,ヨアン ヴァン
デル ザンデ,ウィレム,ヨアン ヴァン
ツヴォル,ピーター−ジャン ヴァン
ツヴォル,ピーター−ジャン ヴァン
ヴァーバーグ,アントニウス,ウィレム
フェルメーレン,ヨハネス,ペトラス,マルティヌス,ベルナルドス
ヴレス,デイビッド,フェルディナンド
フォールトハイゼン,ウィレム−ピーター
ツドラヴコフ,アレクサンダー,ニコロフ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML Netherlands BV
Original Assignee
ASML Netherlands BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML Netherlands BV filed Critical ASML Netherlands BV
Publication of JP2019515322A publication Critical patent/JP2019515322A/ja
Priority to JP2022003245A priority Critical patent/JP7126032B2/ja
Application granted granted Critical
Publication of JP7009380B2 publication Critical patent/JP7009380B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/62Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/204Filters in which spectral selection is performed by means of a conductive grid or array, e.g. frequency selective surfaces
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/208Filters for use with infrared or ultraviolet radiation, e.g. for separating visible light from infrared and/or ultraviolet radiation
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B5/00Optical elements other than lenses
    • G02B5/20Filters
    • G02B5/28Interference filters
    • G02B5/283Interference filters designed for the ultraviolet
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70058Mask illumination systems
    • G03F7/70191Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70908Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
    • G03F7/70916Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/7095Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
    • G03F7/70958Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70983Optical system protection, e.g. pellicles or removable covers for protection of mask

Abstract

EUVリソグラフィ用のメンブレンが開示される。1つの配置では、メンブレンは、第1の金属の酸化物を含む第1のキャッピング層と、第2の金属とSi、B、C、及びNからなるグループから選択された追加の元素とを含む化合物を含むベース層と、第3の金属の酸化物を含む第2のキャッピング層であって、第1の金属が第2の金属とは異なり、第3の金属が第1の金属と同じであるか又はそれとは異なる第2のキャッピング層と、という順序で複数層を有するスタックを含む。【選択図】図3

Description

関連出願の相互参照
[0001] 本出願は、2016年4月25日に出願された欧州特許出願第16166775.3号及び2016年10月21日に出願された欧州特許出願第16195123.1号及び2016年12月20日に出願された欧州特許出願第16205298.9号の優先権を主張するものであり、これらはすべて参照により全体として本明細書に取り入れられる。
[0002] 本発明は、EUVリソグラフィ用のメンブレン、パターニングデバイスアセンブリ、及び動的ガスロックアセンブリに関する。
[0003] リソグラフィ装置は、所望のパターンを基板に、通常は基板のターゲット部分に適用する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に使用可能である。このような場合、代替的にマスク又はレチクルとも呼ばれるパターニングデバイスを使用して、ICの個々の層上に形成すべき回路パターンを生成することができる。このパターンを、基板(例えばシリコンウェーハ)上のターゲット部分(例えば1つ又は幾つかのダイの一部を含む)に転写することができる。パターンの転写は通常、基板に設けた放射感応性材料(レジスト)の層への結像により行われる。一般的に、1枚の基板は、順次パターンが付与される隣接したターゲット部分のネットワークを含んでいる。
[0004] リソグラフィは、IC及びその他のデバイス及び/又は構造を製造する際の主要なステップの1つとして広く認識されている。しかし、リソグラフィを使用して製造される特徴の寸法がより微細になると共に、リソグラフィは小型IC又はその他のデバイス、及び/又は構造の製造を可能にするためのより決定的なファクタになってきている。
[0005] パターン印刷の限界の理論的な推定値は式(1)に示すようなレイリーの解像基準によって得られる。
但し、λは使用される放射の波長、NAはパターンを印刷するために使用される投影システムの開口数、kはレイリー定数とも呼ばれるプロセス依存調整係数であり、CDは印刷される特徴のフィーチャサイズ(又は、限界寸法)である。式(1)から、特徴の印刷可能な最小サイズの縮小は3つの方法で達成できることが分かる。即ち、露光波長λの短縮によるもの、開口数NAの増加によるもの、又はkの値の減少によるものである。
[0006] 露光波長を短くするため、従って、最小印刷可能サイズを縮小するために、極端紫外線(EUV)放射源を使用することが提案されている。EUV放射は、10〜20nmの範囲内、例えば13〜14nmの範囲内の波長を有する電磁放射である。さらには、10nm未満の波長、例えば、6.7nm又は6.8nmといった5〜10nmの範囲内の波長を有するEUV放射が使用され得ることも提案されている。そのような放射は、極端紫外線放射又は軟x線放射と呼ばれる。考えられる放射源としては、例えば、レーザ生成プラズマ源、放電プラズマ源、又は電子蓄積リングによって提供されるシンクロトロン放射に基づく放射源が含まれる。
[0007] リソグラフィ装置はパターニングデバイス(例えばマスク又はレチクル)を含む。放射は、基板上に像を形成するために、パターニングデバイスを通って提供されるか又はパターニングデバイスで反射される。空中浮遊粒子(airborne particle)及びその他の形の汚染からパターニングデバイスを保護するために、メンブレンアセンブリを設けることができる。パターニングデバイスを保護するためのメンブレンアセンブリはペリクルと呼ぶことができる。パターニングデバイスの表面上の汚染は基板上に製造欠陥を引き起こす可能性がある。メンブレンアセンブリは、境界(border)と、境界の全域に引き伸ばされたメンブレンとを含むことができる。
[0008] メンブレンが高い放射率と低い故障の可能性の組み合わせを有することは望ましい。また、メンブレンが高いEUV透過率を有することも望ましい。
[0009] 本発明の一態様により、EUVリソグラフィ用のメンブレンであって、そのメンブレンが、第1の金属の酸化物を含む第1のキャッピング層と、第2の金属とSi、B、C、及びNからなるグループから選択された追加の元素とを含む化合物を含むベース層と、第3の金属の酸化物を含む第2のキャッピング層であって、第1の金属が第2の金属とは異なり、第3の金属が第1の金属と同じであるか又はそれとは異なる第2のキャッピング層と、という順序で複数層を有するスタックを含む、メンブレンが提供される。
[0010] 本発明の一態様により、EUVリソグラフィ用のメンブレンであって、そのメンブレンが、金属と追加の元素とを含む化合物を含むメンブレン層を含み、メンブレンの両方の外面の少なくとも一部が化合物又は追加の元素の酸化物によって形成され、メンブレン層において、
金属がMoであり、上記追加の元素がSiであるか、
金属がRuであり、上記追加の元素がSiであるか、
金属がZrであり、上記追加の元素がSiであるか、
金属がLaであり、上記追加の元素がSiであるか、
金属がScであり、上記追加の元素がSiであるか、
金属がYであり、上記追加の元素がSiであるか、
金属がNbであり、上記追加の元素がSiであるか、
金属がMoであり、上記追加の元素がBであるか、
金属がRuであり、上記追加の元素がBであるか、
金属がZrであり、上記追加の元素がBであるか、
金属がNbであり、上記追加の元素がBであるか、
金属がTiであり、上記追加の元素がBであるか、
金属がLaであり、上記追加の元素がBであるか、又は
金属がZrであり、上記追加の元素がCである、
メンブレンが提供される。
[0011] 本発明の一態様により、EUVリソグラフィ用のメンブレンを製造する方法であって、ベース層を設けることと、放射率層(emissivity layer)を設けることであって、放射率層がベース層より赤外線中でより高い放射率を有することとを含み、放射率層が不規則支持表面(irregular support surface)上に設けられ、不規則支持表面の不規則性が不規則支持表面とは反対側の放射率層の表面において対応する不規則性を発生するような厚さを放射率層が有する、方法が提供される。
[0012] 本発明の一態様により、EUVリソグラフィ用のメンブレンを製造する方法であって、マスクを使用して、エッチングすべき支持構造内の領域を規定することと、規定された領域にエッチングすることとを含み、マスクが複数の直線セクションを含むマスク境界線によって規定された形状を有し、各対の直接隣接する直線セクション間の内角が90度より大きい、方法が提供される。
[0013] 本発明の一態様により、自立部分(freestanding portion)を含むEUVリソグラフィ用のメンブレンであって、自立部分の形状が複数の実質的直線セクションを含む自立部分境界線によって規定され、各対の直接隣接する直線セクション間の内角が90度より大きい、メンブレンが提供される。
[0014] 本発明の一態様により、EUVリソグラフィ用のメンブレンであって、そのメンブレンが、ベース層と、キャッピング層であって、Moと少なくとも1つの他の金属との合金を含むキャッピング層とを含む、メンブレンが提供される。
[0015] 本発明の一態様により、EUVリソグラフィ用のメンブレンであって、そのメンブレンが、ベース層と、キャッピング層であって、ホウケイ酸ガラスを含むキャッピング層とを含む、メンブレンが提供される。
[0016] 本発明の一態様により、EUVリソグラフィ用のメンブレンであって、そのメンブレンが、YSi、ZrSi、LaSi、及びNbSiのうちの1つ以上を含むベース層を含む、メンブレンが提供される。
[0017] 本発明の一態様により、波長λを有するEUV放射を使用するEUVリソグラフィ用のメンブレンであって、そのメンブレンが、第1の保護キャッピング層と、λ/2の厚さを有する第1の放射率層と、λ/4の厚さを有する第1のバリア層と、ベース層と、という順序で複数層のスタックを含み、第1の保護キャッピング層が第1の放射率層の屈折率及び第1のバリア層の屈折率と整合させた屈折率を有し、この複数層の厚さが、メンブレンの両側の境界面からのEUV反射間の弱め合う干渉を達成するように選択される、メンブレンが提供される。
[0018] 本発明の一態様により、EUVリソグラフィ用のメンブレンを浄化するためのクリーニングツール(cleaning tool)であって、層流の方向がメンブレンの外面と平行になるようにチャンバを通って層流ガスフローを提供するための入口開口部と排出開口部とを含むチャンバと、チャンバ内でメンブレンを保持するように配置されたインターフェイスプレートと、インターフェイスプレートに結合され、汚染粒子がメンブレンの外面から解放されるようにメンブレンを振動させるように配置された振動ステージとを含む、クリーニングツールが提供される。代替的に、振動ステージの代わりに、メンブレンの前の音響スピーカが音波でメンブレンを振動させるように配置される。
[0019] 本発明の一態様により、EUVリソグラフィ用のメンブレンを製造する方法であって、ベース層又はベース層を含むスタックを設けることと、ベース層又はスタック上に非晶質キャッピング層を形成することとを含む、方法が提供される。
[0020] 本発明の一態様により、EUVリソグラフィ用のメンブレンであって、そのメンブレンが、ベース層と、メンブレンの外面を提供する非晶質キャッピング層とを含む、メンブレンが提供される。
[0021] 本発明の一態様により、EUVリソグラフィ用のメンブレンであって、そのメンブレンが、ベース層と、メンブレンの外面を提供するキャッピング層であって、少なくとも20%の割合のsp炭素を有する炭素を含むキャッピング層とを含む、メンブレンが提供される。
[0022] 本発明の一態様により、EUVリソグラフィ用のメンブレンであって、そのメンブレンが、ベース層と、メンブレンの外面を提供するキャッピング層であって、アルカリ金属又はアルカリ土類金属がドープされた遷移金属を含むキャッピング層とを含む、メンブレンが提供される。
[0023] 本発明の一態様により、EUVリソグラフィ用のメンブレンであって、そのメンブレンが、ベース層と、メンブレンの外面を提供するキャッピング層であって、M1M2を含み、M1がアルカリ金属又はアルカリ土類金属であり、M2が遷移金属、任意選択で希土類金属であるキャッピング層とを含む、メンブレンが提供される。
[0024] 本発明の一態様により、EUVリソグラフィ用のメンブレンを製造する方法であって、支持構造上に少なくとも1つのベース層を形成することと、ベース層を含む自立メンブレンを形成するためにベース層の下の選択された領域内の支持構造にエッチングすることとを含み、支持構造とベース層との熱膨張係数の差がシリコンとベース層との熱膨張係数の差より小さい、方法が提供される。
[0025] 本発明の一態様により、自立部分を含むEUVリソグラフィ用のメンブレンであって、自立部分がベース層を含み、支持構造によって支持された非自立部分に接続され、支持構造とベース層との熱膨張係数の差がシリコンとベース層との熱膨張係数の差より小さい、メンブレンが提供される。
[0026] 対応する参照符号が対応する部分を示す添付の概略図を参照しながら以下に本発明の実施形態について説明するが、これは単に例示としてのものに過ぎない。
[0027]本発明の一実施形態によるリソグラフィ装置を図示している。 [0028]リソグラフィ装置のより詳細な図である。 [0029]本発明の異なる諸実施形態によるメンブレンを断面図で概略的に図示している。 [0029]本発明の異なる諸実施形態によるメンブレンを断面図で概略的に図示している。 [0029]本発明の異なる諸実施形態によるメンブレンを断面図で概略的に図示している。 [0029]本発明の異なる諸実施形態によるメンブレンを断面図で概略的に図示している。 [0029]本発明の異なる諸実施形態によるメンブレンを断面図で概略的に図示している。 [0029]本発明の異なる諸実施形態によるメンブレンを断面図で概略的に図示している。 [0030]様々な材料の破壊靱性及び降伏強さを比較するグラフである。 [0031]様々な材料に関する降伏強さの温度依存性を示すグラフである。 [0032]理論及び実験により、異なる厚さのMoSiの透過率、反射率、及び吸光度を波長と相関するものとしてそれぞれ示すグラフである。 [0032]理論及び実験により、異なる厚さのMoSiの透過率、反射率、及び吸光度を波長と相関するものとしてそれぞれ示すグラフである。 [0032]理論及び実験により、異なる厚さのMoSiの透過率、反射率、及び吸光度を波長と相関するものとしてそれぞれ示すグラフである。 [0033]直径1.5cmのMoSiメンブレンを通るEUV透過率の分布を示している。 [0034]0.65W/cmで20時間露光されたMoSiメンブレンに関する波長によるEUV透過率の変動を示している(メンブレンの中央領域とその他の領域では著しい違いは全く見られない)。 [0035]1.8W/cmで20時間露光されたMoSiメンブレンに関する波長によるEUV透過率の変動を示している(破線は中央領域での透過率を示す)。 [0036]一実施形態によりメンブレンを製造するためのプロセスフローの例を図示している。 [0036]一実施形態によりメンブレンを製造するためのプロセスフローの例を図示している。 [0036]一実施形態によりメンブレンを製造するためのプロセスフローの例を図示している。 [0036]一実施形態によりメンブレンを製造するためのプロセスフローの例を図示している。 [0036]一実施形態によりメンブレンを製造するためのプロセスフローの例を図示している。 [0036]一実施形態によりメンブレンを製造するためのプロセスフローの例を図示している。 [0036]一実施形態によりメンブレンを製造するためのプロセスフローの例を図示している。 [0036]一実施形態によりメンブレンを製造するためのプロセスフローの例を図示している。 [0036]一実施形態によりメンブレンを製造するためのプロセスフローの例を図示している。 [0037] ポリシリコンベース層の異方性エッチングによって形成された不規則支持表面上に形成された放射率層を有するメンブレンを断面図で概略的に図示している。 [0038]ベース層に加えて設けられたポリシリコンの層の異方性エッチングによって形成された不規則支持表面上に形成された放射率層を有するメンブレンを製造する方法における諸ステップを断面図で概略的に図示している。 [0038]ベース層に加えて設けられたポリシリコンの層の異方性エッチングによって形成された不規則支持表面上に形成された放射率層を有するメンブレンを製造する方法における諸ステップを断面図で概略的に図示している。 [0039]メンブレンを解放するためにエッチングすべき領域を規定するために使用されるマスク(左)と、メンブレンの結果として生じる自立部分(右)の概略上面図を図示しており、マスク及び自立部分は90度の隅を有する。 [0040]メンブレンを解放するためにエッチングすべき領域を規定するために使用されるマスク(左)と、メンブレンの結果として生じる自立部分(右)の概略上面図を図示しており、マスク及び自立部分は隅における応力集中を低減するために90度より大きい内角を備えた隅を有する。 [0041]ベース層とキャッピング層とを有するメンブレンを断面図で概略的に図示しており、キャッピング層はMoと少なくとも1つの他の金属との合金を含むか又はホウケイ酸ガラスを含む。 [0042]ベース層と、MoSi又はSiを含むキャッピング層第1サブレイヤと、Bを含むキャッピング層第2サブレイヤとを有するメンブレンを断面図で概略的に図示している。 [0043]本発明によりメンブレンをモニターし浄化するためのクリーニングツールを概略的に図示している。 [0044]メンブレンを製造する方法のステージを概略的に図示している。 [0045]図34の製造方法における更なるステージを概略的に図示している。
[0046] 図1は、本発明の一実施形態によるソースコレクタモジュールSOを含むリソグラフィ装置100を概略的に示す。この装置100は、
−放射ビームB(例えば、EUV放射)を調整するように構成された照明システム(又はイルミネータ)IL。
−パターニングデバイス(例えば、マスク又はレチクル)MAを支持するように構成され、パターニングデバイスを正確に位置決めするように構成された第1のポジショナPMに接続された支持構造(例えば、マスクテーブル)MTと、
−基板(例えば、レジストコートウェーハ)Wを保持するように構成され、基板を正確に位置決めするように構成された第2のポジショナPWに接続された基板テーブル(例えば、ウェーハテーブル)WTと、
−パターニングデバイスMAによって放射ビームBに与えられたパターンを、基板Wのターゲット部分C(例えば、1つ以上のダイを含む)上に投影するように構成された投影システム(例えば、反射投影システム)PSと、を含む。
[0047] 照明システムILは、放射を誘導し、整形し、又は制御するための、屈折型、反射型、磁気型、電磁型、静電型、又はその他のタイプの光学コンポーネント、あるいはそれらの任意の組み合わせなどの様々なタイプの光学コンポーネントを含むことができる。
[0048] 支持構造MTは、パターニングデバイスの配向、リソグラフィ装置の設計及び、例えばパターニングデバイスが真空環境で保持されているか否か等の条件に応じた方法でパターニングデバイスMAを保持する。支持構造MTは、機械式、真空式、静電式又はその他のクランプ技術を用いて、パターニングデバイスMAを保持することができる。支持構造MTは、例えば、必要に応じて固定又は可動式にできるフレーム又はテーブルであってもよい。支持構造MTは、パターニングデバイスMAが例えば投影システムPSに対して確実に所望の位置に来るようにしてもよい。
[0049] 「パターニングデバイス」という用語は、基板Wのターゲット部分Cにパターンを生成するように、放射ビームBの断面にパターンを付与するために使用し得る任意のデバイスを指すものとして広義に解釈されるべきである。放射ビームBに付与されるパターンは、集積回路などのターゲット部分Cに生成されるデバイスの特定の機能層に相当する。
[0050] パターニングデバイスMAは透過性又は反射性でよい。パターニングデバイスの例には、マスク、プログラマブルミラーアレイ、及びプログラマブル液晶ディスプレイ(LCD)パネルがある。マスクはリソグラフィにおいて周知のものであり、これには、バイナリマスク、レベンソン型(alternating)位相シフトマスク、ハーフトーン型(attenuated)位相シフトマスクのようなマスクタイプ、さらには様々なハイブリッドマスクタイプも含まれる。プログラマブルミラーアレイの一例として、小型ミラーのマトリクス配列を使用し、ミラーは各々、入射する放射ビームを異なる方向に反射するよう個々に傾斜することができる。傾斜したミラーは、ミラーマトリクスによって反射する放射ビームにパターンを付与する。
[0051] 照明システムILと同様、投影システムPSは、使用する露光放射、又は真空の使用などの他の要因に合わせて適宜、例えば屈折、反射、磁気、電磁気、静電型等の光学コンポーネント、又はその任意の組み合わせなどの種々のタイプの光学コンポーネントを含んでいてもよい。その他のガスは放射を吸収しすぎるため、EUV放射用には真空を使用することが望ましいことがある。従って、真空環境は、真空壁及び真空ポンプを用いてビーム経路全体に提供してもよい。
[0052] 本明細書で示すように、リソグラフィ装置100は、反射タイプである。(例えば、反射マスクを使用する。)
[0053] リソグラフィ装置100は、2つ(デュアルステージ)以上の基板テーブルWT(及び/又は2つ以上の支持構造MT)を有するタイプのものであってよい。そのような「マルチステージ」リソグラフィ装置においては、追加の基板テーブルWT(及び/又は追加の支持構造MT)は並行して使用するか、又は別の1つ以上の基板テーブルWT(及び/又は別の1つ以上の他の支持構造MT)を露光に使用している間に1つ以上の基板テーブルWT(及び/又は1つ以上の支持構造MT)上で予備工程を実行することができる。
[0054] 図1を参照すると、照明システムILはソースコレクタモジュールSOから極端紫外線放射ビームを受け取る。EUV光を生成するための方法は、EUV範囲内に1つ以上の輝線を含み、例えば、キセノン、リチウム、又はスズなどの少なくとも1つの元素を有する材料をプラズマ状態に変換することを含むが、必ずしもこれに限定されない。しばしばレーザ生成プラズマ(「LPP」)と呼ばれるこのような方法の1つでは、必要な線発光元素を有する材料の小滴、流れ、又はクラスタなどの燃料をレーザビームで照射することにより、必要なプラズマを生成することができる。ソースコレクタモジュールSOは、燃料を励起するレーザビームを提供するために、図1に示されていない、レーザを含むEUV放射システムの一部にすることができる。結果として生じるプラズマは出力放射、例えばEUV放射を放出し、この放射はソースコレクタモジュール内に配置された放射コレクタを使用して収集される。レーザ及びソースコレクタモジュールSOは、例えば燃料励起のためのレーザビームを提供するためにCOレーザが使用される場合に、別々の構成要素であってもよい。
[0055] このような場合、レーザはリソグラフィ装置100の一部を形成すると見なされず、放射ビームBは、例えば適切な誘導ミラー及び/又はビームエキスパンダなどを備えるビームデリバリシステムの助けにより、レーザからソースコレクタモジュールSOへと渡される。他のケースでは、例えば、放射源がしばしばDPP源と呼ばれる放電生成プラズマEUVジェネレータである場合は、放射源がソースコレクタモジュールSOの一体部分であってもよい。
[0056] 照明システムILは、放射ビームの角度強度分布を調整するためのアジャスタを備えることができる。一般に、照明システムの瞳面における強度分布の少なくとも外側及び/又は内側半径範囲(一般にそれぞれσ−outer及びσ−innerと呼ばれる)を調節することができる。また、照明システムILは、ファセットされたフィールド及び瞳ミラーデバイスなどの様々な他のコンポーネントを含むことができる。照明システムILは、放射ビームBを調節して、その断面にわたって所望の均一性と強度分布とが得られるようにしてもよい。
[0057] 放射ビームBは、支持構造(例えば、マスクテーブル)MT上に保持されたパターニングデバイス(例えばマスク)MAに入射し、パターニングデバイスMAによってパターン形成される。パターニングデバイス(例えばマスク)MAから反射された後、放射ビームBは投影システムPSを通過し、投影システムPSは放射ビームBを基板Wのターゲット部分C上に合焦させる。第2のポジショナPW及び位置センサPS2(例えば、干渉計装置、リニアエンコーダ又は容量センサ)の助けを借りて、基板テーブルWTは、例えば、異なるターゲット部分Cを放射ビームBの経路に位置決めするように正確に移動させることができる。同様に、第1のポジショナPM及び別の位置センサPS1を使用して、パターニングデバイス(例えば、マスク)MAを放射ビームBの経路に対して正確に位置決めすることができる。パターニングデバイス(例えば、マスク)MA及び基板Wは、マスクアライメントマークM1、M2及び基板アライメントマークP1、P2を使用して位置合わせすることができる。
[0058] 図2は、ソースコレクタモジュールSOと照明システムILと投影システムPSとを含むリソグラフィ装置100をより詳細に示している。EUV放射放出プラズマ210はプラズマ源によって形成することができる。EUV放射は、電磁スペクトルのEUV範囲内の放射を放出するように放射放出プラズマ210が作成される、Xeガス、Li蒸気、又はSn蒸気などのガス又は蒸気によって生成することができる。一実施形態では、EUV放射を生成するために、励起されたスズ(Sn)のプラズマが提供される。
[0059] 放射放出プラズマ210によって放出された放射は、ソースチャンバ211からコレクタチャンバ212内に渡される。
[0060] コレクタチャンバ212は放射コレクタCOを含んでもよい。放射コレクタCOを横断する放射は、仮想光源点IFにおいて合焦することができる。仮想光源点IFは一般に中間焦点と呼ばれ、ソースコレクタモジュールSOは、仮想光源点IFが閉鎖構造220の開口部221又はその付近に位置するように配置される。仮想光源点IFは放射放出プラズマ210の像である。
[0061] その後、放射は照明システムILを横断し、この照明システムは、パターニングデバイスMAにおけるパターンなしビーム21の所望の角度分布並びにパターニングデバイスMAにおける放射強度の所望の均一性を提供するように配置されたファセットフィールドミラーデバイス22及びファセット瞳ミラーデバイス24を含むことができる。支持構造MTによって保持されたパターニングデバイスMAにおいてパターンなしビーム21が反射すると、パターン付きビーム26が形成され、そのパターン付きビーム26は基板テーブルWTによって保持された基板W上に反射素子28、30を介して投影システムPSによって結像される。
[0062] 一般に、照明システムIL及び投影システムPSには示されているものより多くの要素が存在する可能性がある。さらに、図に示されているものより多くのミラーが存在する可能性があり、例えば、図2に示されているより1〜6つ追加の反射素子が投影システムPS内に存在してもよい。
[0063] 代替的に、ソースコレクタモジュールSOはLPP放射システムの一部にすることができる。
[0064] 図1に図示されているように、一実施形態では、リソグラフィ装置100は照明システムILと投影システムPSとを含む。照明システムILは放射ビームBを放出するように構成される。投影システムPSは介在する空間によって基板テーブルWTから分離される。投影システムPSは、放射ビームBに付与されたパターンを基板W上に投影するように構成される。このパターンは放射ビームBのEUV放射のためのものである。
[0065] 投影システムPSと基板テーブルWTとの間に介在する空間は少なくとも部分的に真空にすることができる。介在する空間は、使用された放射がそこから基板テーブルWTに向かって誘導される固体表面によって投影システムPSの位置で区切ることができる。
[0066] 一実施形態では、リソグラフィ装置100は動的ガスロックを含む。動的ガスロックはメンブレンアセンブリ80を含む。一実施形態では、動的ガスロックは、介在する空間に位置するメンブレンアセンブリ80によって覆われた中空部分を含む。中空部分は放射の経路の周りに位置する。一実施形態では、リソグラフィ装置100は、ガスの流れで中空部分の内側を洗い流すように構成されたガスブロワ(gas blower)を含む。放射は、基板Wに衝突する前にメンブレンアセンブリを通って移動する。
[0067] 一実施形態では、リソグラフィ装置100はメンブレンアセンブリ80を含む。上記で説明したように、一実施形態では、メンブレンアセンブリ80は動的ガスロックのためのものである。この場合、メンブレンアセンブリ80は、DUV放射をフィルタで除去するためのフィルタとして機能する。追加的に又は代替的に、一実施形態では、メンブレンアセンブリ80はEUVリソグラフィ用のパターニングデバイスMAのためのペリクルである。本発明のメンブレンアセンブリ80は、動的ガスロック又はペリクル、あるいはスペクトル純度フィルタなどの他の目的に使用することができる。一実施形態では、メンブレンアセンブリ80はメンブレン40を含み、これはメンブレンスタック(membrane stack)とも呼ばれる。一実施形態では、メンブレンは入射EUV放射の少なくとも80%を透過するように構成される。
[0068] 一実施形態では、メンブレンアセンブリ80は、空中浮遊粒子及びその他の形の汚染からパターニングデバイスMAを保護するために、パターニングデバイスMAを密閉するように構成される。パターニングデバイスMAの表面上の汚染は基板W上に製造欠陥を引き起こす可能性がある。例えば、一実施形態では、ペリクルは、粒子がリソグラフィ装置100内のパターニングデバイスMAのステッピングフィールド内に移動する可能性を低減するように構成される。
[0069] パターニングデバイスMAが非保護の状態で放置された場合、汚染によってパターニングデバイスMAを浄化又は廃棄することが必要になる可能性がある。パターニングデバイスMAを浄化することは貴重な製造時間を中断し、パターニングデバイスMAを廃棄することは費用のかかることである。また、パターニングデバイスMAを交換することも貴重な製造時間を中断するものである。
[0070] メンブレン40に入射するEUVはメンブレン40に熱を加えることになる。この熱はメンブレン40の温度を上昇させることになる。現在のEUV源では、メンブレンの温度は典型的に約800〜900℃に達する。将来のEUV源はより大きい出力を有し、より大きい熱負荷を加える可能性がある。メンブレン40の温度が上昇しすぎる場合、メンブレン40の性能又は寿命が低減される可能性がある。メンブレン40が完全に故障する可能性もある。
[0071] メンブレン40の様々な層に使用される材料は、a)熱負荷抵抗を増すための放射率層を有すること、b)過酷なEUVスキャナ環境からメンブレンを保護し、その寿命を延ばすための外部キャッピング層(OLT)を有すること、c)これらの層のいずれかの拡散/混合を防止するためのバリア層を有することなど、様々な機能要件を満たす必要がある。
[0072] 従って、その他の機能要件をすべて満たし、十分な製造性歩留まりのための大きいプロセスウィンドウを可能にしながら、極めて低いEUV反射率(例えば、EUVR<0.05%)を有するロバストなメンブレン40を設計することが望ましい。
[0073] 幾つかの既存のメンブレンに使用される材料は、良好な機械的性質及びEUV透過率を有するが、赤外線中では比較的低い放射率を有する。この低い放射率は、このような材料から作られるメンブレンが熱を放射できる速度を制限する。更なる層が全く追加されない場合、このメンブレンは使用中に熱くなりすぎて故障することになる。放射率を高め、性能を改善するために、高い放射率を備えたキャッピング層がこのようなメンブレンに追加されている。しかしながら、このようなキャッピング層は望ましくないことにEUV反射率を高める可能性がある。例えば、Ru、Mo、Zr、及びNbなどの金属は良好な放射率を有するが、比較的高い反射率を有する。さらに、キャッピング層は使用中に劣化する可能性があることが判明している。例えば、Ru、Mo、Zr、及びNbなどの金属は、保護されない場合、使用中に酸化する。フォトイオニックエッチングは、本来は高温で比較的安定しているSiN及びSiOなどの材料の劣化を引き起こす可能性がある。
[0074] 図3は、改善されたバランスの性質を提供することが判明しているメンブレン40を図示している。この実施形態では、メンブレン40は、第1のキャッピング層70とベース層60と第2のキャッピング層80と、という順序で複数層を有するスタックを含む。第1のキャッピング層70は第1の金属の酸化物を含む。ベース層60は、第2の金属と追加の元素とを含む化合物を含む。追加の元素は、Si、B、C、及びNからなるグループから選択される。第2のキャッピング層80は第3の金属の酸化物を含む。第1の金属は第2の金属とは異なる。第3の金属は第1の金属と同じであるか又は第3の金属は第1の金属とは異なる。一実施形態では、第1のキャッピング層70と第2のキャッピング層80のいずれか一方又は両方はメンブレン40の外面を形成する。同図のこの向きでは、メンブレン40は上部外面(上向き)及び下部外面(下向き)を有する。第1のキャッピング層70は上部外面を形成する。第2のキャッピング層80は下部外面を形成する。
[0075] 一実施形態では、ベース層60は赤外線中で高い放射率を有する。例えば、放射率が0(最小)と1(最大)との間で変動する場合、放射率は0.2より大きく、任意選択で0.3より大きく、任意選択で0.4より大きい可能性がある。従って、ベース層60は、効果的に熱を放射し、メンブレン40が過熱するのを防止する。第1のキャッピング層70及び第2のキャッピング層80は、例えば、入射EUV放射及び/又は酸化性の環境条件による劣化からベース層60を保護する。一実施形態では、ベース層60は第1のキャッピング層70及び第2のキャッピング層80のそれぞれより厚く、任意選択で少なくとも5倍厚く、任意選択で少なくとも10倍厚い。一実施形態では、ベース層60は少なくとも8nm、任意選択で少なくとも10nm、任意選択で少なくとも15nm、任意選択で少なくとも20nm、任意選択で少なくとも25nmの厚さを有する。一実施形態では、第1のキャッピング層70及び第2のキャッピング層80のそれぞれは5nmより小さく、任意選択で4nmより小さく、任意選択で3nmより小さく、任意選択で2nmより小さく、任意選択で1nmより小さい厚さを有する。一実施形態では、メンブレンは、例えばポリシリコン層であるメンブレンベース層と、その上に放射率層及び保護キャッピング層OLTが追加されるバリア層(例えばSiN層)とを含む。このようなメンブレンのEUV反射率(EUVR)は、最良一致の放射率及びOLTキャッピング層を使用し、弱め合う干渉を利用することによって最小化することができる。例えば、EUV放射が13.5nmの波長を有する場合、バリア層について「4分の1ラムダ」の厚さ、即ち13.5nm/4≒3.3nmを使用し、放射層について「2分の1ラムダ」の厚さ、即ち13.5nm/2≒6.7nmを使用し、放射率層及びOLTキャッピング層の屈折率をバリア層のものと一致させることが可能であり、例えば、バリア層についてはn_SiN=0.97、OLTキャップ層についてはn_OLT≒0.97、放射率層についてはn_en=n_SiN ≒0.94になる。
[0076] 以下の表1は、メンブレンコア層(ベース層である)の周りに対称的なサンドイッチ構成を有するメンブレン40におけるそれぞれの機能とともに最適パラメータ及び材料の選択を示している。以下の表のSiOは、SiNバリア層と接触して、ポリシリコンコア層の上に自然に形成された薄い自然酸化物層である。厚さの値はnmで示す。
[0077] このようなメンブレン設計は、キャッピング層及びメンブレンベース層の材料に関するプロセスウィンドウを最大化することができる。この特定の設計により、Siベース層及びSiNバリア層に関する非常に大きいプロセスウィンドウが可能になる。
[0078] ポリシリコンメンブレンベース層と任意の更なる機能層との最良一致を例示するために特定のEUV波長(13.5nm)及びメンブレン材料について上述しているが、本明細書には所与のEUV波長に関する上記の基準を満たす材料と層の任意の組み合わせが含まれている。
[0079] 一実施形態では、ベース層60の厚さは、第1のキャッピング層70及び第2のキャッピング層80からのEUV反射間の弱め合う干渉を達成するように選択される。弱め合う干渉は望ましいことにメンブレン40の全体的な反射率を低減する。一実施形態では、ベース層60の厚さは9nm+/−2nm、好ましくは+/−1nmであり、これは第1のキャッピング層70と第2のキャッピング層80との間の分離によって弱め合う干渉を引き起こさせるものであることが判明している。一実施形態では、ベース層60の厚さは16nm+/−2nm、好ましくは+/−1nmであり、これは第1のキャッピング層70と第2のキャッピング層80との間の分離によって弱め合う干渉を引き起こさせるものであることが判明している。第1のキャッピング層70及び第2のキャッピング層80からのEUV反射間の弱め合う干渉を強化するこれらの実施形態は、第1の金属及び第3の金属のいずれか一方又は両方がZrを含む場合に特に効果的であることが判明している。
[0080] 一実施形態では、第1の金属の酸化物及び第3の金属の酸化物のいずれか一方又は両方は酸素伝導酸化物(oxygen conductive oxide)である。酸素伝導酸化物は、酸化物の格子により酸素の運搬を可能にする酸化物である。酸素伝導酸化物は酸化性の環境で特に安定しているので、第1のキャッピング層及び第2のキャッピング層に適切なものである。酸素伝導酸化物は優れたロバスト性を有することが知られており、高温の触媒作用で使用される。酸素伝導酸化物は、酸素に対する緩衝剤として作用することができるので、酸素含有量が変動する環境でその性質を適切に維持する。酸素伝導酸化物は、環境の酸素含有量の変化に応じて酸素を吸収し解放することができる。EUV放射は多くの場合、保護層内の空格子点及びその他の欠陥の数を増加することになる。高レベルの格子酸素欠乏でも安定している酸素伝導酸化物、例えばZrOが存在する。
[0081] 一実施形態では、第1の金属及び第3の金属のいずれか一方又は両方は、Nb、Zr、Ce、Ti、La、Y、及びAlからなるグループから選択され、それぞれ例えばNbO、ZrO、CeO、TiO、La、Yを形成する。任意選択で、第1の金属及び第3の金属のいずれか一方又は両方は、Zr及びYからなるグループから選択される。Zrの酸化物は特に効果的であることが判明している。また、Yの酸化物も特に効果的であることが判明している。いずれの酸化物にもドープすることができる。例えば、Zrの酸化物が使用される場合、この酸化物にイットリア(Y)をドープしてイットリア安定化ジルコニアを形成することができる。異なる酸化物の任意の混合物を使用することもできる。
[0082] 一実施形態では、ベース層において第2の金属と追加の元素とを含む化合物は第2の金属及び追加の元素からなる(即ち、任意選択でドーパントを除き、この化合物には他の元素は全く存在しない)。
[0083] 一実施形態では、ベース層60の化合物において第2の金属はMoであり、上記の追加の元素は、例えばMoSi及び/又は任意のその他の安定したストイキオメトリとしてのSiである。一実施形態では、化合物はMo及びSiからなる。
[0084] 一実施形態では、ベース層60の化合物において第2の金属はRuであり、上記の追加の元素は、例えばRuSi及び/又はRuSi及び/又は任意のその他の安定したストイキオメトリとしてのSiである。一実施形態では、化合物はRu及びSiからなる。
[0085] 一実施形態では、ベース層60の化合物において第2の金属はZrであり、上記の追加の元素は、例えばZrSi及び/又は任意のその他の安定したストイキオメトリとしてのSiである。一実施形態では、化合物はZr及びSiからなる。
[0086] 一実施形態では、ベース層60の化合物において第2の金属はLaであり、上記の追加の元素は、例えばLaSi及び/又は任意のその他の安定したストイキオメトリとしてのSiである。一実施形態では、化合物はLa及びSiからなる。
[0087] 一実施形態では、ベース層60の化合物において第2の金属はScであり、上記の追加の元素は、例えばScSi及び/又は任意のその他の安定したストイキオメトリとしてのSiである。一実施形態では、化合物はSc及びSiからなる。
[0088] 一実施形態では、ベース層60の化合物において第2の金属はYであり、上記の追加の元素は、例えばYSi及び/又は任意のその他の安定したストイキオメトリとしてのSiである。一実施形態では、化合物はY及びSiからなる。
[0089] 一実施形態では、ベース層60の化合物において第2の金属はNbであり、上記の追加の元素は、例えばNbSi及び/又は任意のその他の安定したストイキオメトリとしてのSiである。一実施形態では、化合物はNb及びSiからなる。
[0090] 一実施形態では、ベース層60の化合物において第2の金属はMoであり、上記の追加の元素は、例えばMoB及び/又は任意のその他の安定したストイキオメトリとしてのBである。一実施形態では、化合物はMo及びBからなる。
[0091] 一実施形態では、ベース層60の化合物において第2の金属はRuであり、上記の追加の元素は、例えばRuB及び/又は任意のその他の安定したストイキオメトリとしてのBである。一実施形態では、化合物はRu及びBからなる。
[0092] 一実施形態では、ベース層60の化合物において第2の金属はZrであり、上記の追加の元素は、例えばZrB及び/又は任意のその他の安定したストイキオメトリとしてのBである。一実施形態では、化合物はZr及びBからなる。
[0093] 一実施形態では、ベース層60の化合物において第2の金属はNbであり、上記の追加の元素は、例えばNbB及び/又は任意のその他の安定したストイキオメトリとしてのBである。一実施形態では、化合物はNb及びBからなる。
[0094] 一実施形態では、ベース層60の化合物において第2の金属はTiであり、上記の追加の元素は、例えばTiB及び/又は任意のその他の安定したストイキオメトリとしてのBである。一実施形態では、化合物はTi及びBからなる。
[0095] 一実施形態では、ベース層60の化合物において第2の金属はLaであり、上記の追加の元素は、例えばLaB及び/又は任意のその他の安定したストイキオメトリとしてのBである。一実施形態では、化合物はLa及びBからなる。
[0096] 一実施形態では、ベース層60の化合物において第2の金属はZrであり、上記の追加の元素は、例えばZrC及び/又は任意のその他の安定したストイキオメトリとしてのCである。一実施形態では、化合物はZr及びCからなる。
[0097] 本発明者らは、第1の金属がZrであり、第2の金属がMoであり、追加の元素がSiであり、第3の金属がZrであるという組み合わせが特に効果的であると判断した。
[0098] 第1の金属の酸化物及び第3の金属の酸化物は単一金属酸化物に限定されない。一実施形態では、第1の金属の酸化物は第1の金属と1つ以上の更なる金属とを含む混合金属酸化物である。一実施形態では、第3の金属の酸化物は第3の金属と1つ以上の更なる金属とを含む混合金属酸化物である。一実施形態では、第1の金属の酸化物は第1の金属と1つ以上の更なる金属とを含む混合金属酸化物であり、第3の金属の酸化物は第3の金属と1つ以上の更なる金属とを含む混合金属酸化物である。
[0099] 図3に示されているタイプの実施形態では、ベース層60内で第2の金属と追加の元素とを含む化合物の少なくとも一部分は、第1のキャッピング層70内の第1の金属の酸化物及び第2のキャッピング層80内の第3の金属の酸化物のいずれか一方又は両方と接触している。この配置は比較的製造しやすいものである。この配置は、メンブレン40が使用される状況で第1のキャッピング層70内の第1の金属の酸化物及び第2のキャッピング層80内の第3の金属の酸化物がベース層60の適切な保護を提供する場合に使用することができる。図4〜図6はより複雑な構造が提供される実施形態を示している。
[00100] 図4は、ベース層60が複数のベース層サブレイヤ61〜63を含む一実施形態を図示している。ベース層サブレイヤ61〜63のうちの少なくとも1つは、第2の金属と追加の元素とを含む化合物を含む。示されている例では、ベース層60は、ベース層第1サブレイヤ61と、ベース層第2サブレイヤ62と、ベース層第3サブレイヤ63とを含む。ベース層第2サブレイヤ62は、ベース層第1サブレイヤ61とベース層第3サブレイヤ63との間に配置される。ベース層第2サブレイヤ62は、第2の金属と追加の元素とを含む化合物を含む。ベース層第1サブレイヤ61は追加の元素の酸化物を含む。ベース層第3サブレイヤ63は追加の元素の酸化物を含む。
[00101] 一実施形態では、図4に示されているように、ベース層第1サブレイヤ61の少なくとも一部分は第1のキャッピング層70内の第1の金属の酸化物と接触している。一実施形態では、図4に示されているように、ベース層第3サブレイヤ63の少なくとも一部分は第2のキャッピング層80内の第3の金属の酸化物と接触している。
[00102] 一実施形態では、ベース層第1サブレイヤ61及びベース層第3サブレイヤ63はベース層第2サブレイヤ62を使用中の損傷から保護する。ベース層第1サブレイヤ61及びベース層第3サブレイヤ63は、例えば抗酸化拡散バリア層として作用することができる。追加の元素がSiである場合、ベース層第1サブレイヤ61及びベース層第3サブレイヤ63のいずれか一方又は両方はシリカ(SiO)を含むことができる。ベース層第1サブレイヤ61及びベース層第3サブレイヤ63はスケールと呼ぶことができる。このスケールは、ベース層第2サブレイヤ62上に(即ち、酸化性の環境で熱力学的に好都合であることにより)自然に形成することができる。この場合、スケールは自然スケール又は自然酸化物と呼ぶことができる。
[00103] 第1のキャッピング層70及び第2のキャッピング層80はベース層第1サブレイヤ61及びベース層第3サブレイヤ63を保護するものであり、例えばEUV光子によってこれらのサブレイヤのエッチングを防止するか又はその速度を低減する。
[00104] 第2の金属がMo又はRuであり、追加の元素がSiである場合、シリカのスケールが形成されることは特に熱力学的に好都合である。このように形成されたスケールは高密度であり、高温で安定している。
[00105] 酸化時に保護シリカスケールを形成するというシリサイドの能力は、以下の2通りの反応の熱に基づいて判断することができる。
[00106] 反応1:MSi+O→MSi+SiO
[00107] 反応2:nMSi+(4n+k)O→M+2nSiO
[00108] 反応1はシリカスケールとシリサイドを生成する。反応2は、金属酸化物とシリカという2通りの酸化物の混合物を生成する。後者の状況は、この場合、保護スケールが全く形成されないので望ましくない。2通りの反応の熱力学的確率は、以下に示すものと同様の公式によって計算された2通りの反応の熱を使用することによって評価することができる。
[00109] 298Kにおける反応1のエンタルピーは酸素原子数ごとに計算され、正規化される。反応1と反応2のエンタルピーを比較することにより、どちらの反応がより熱力学的に好都合であるかを判断することが可能である。エンタルピーの温度依存性は考慮されない。この単純化は、Nicolet他のSolid State Electronics(1978年、21、667〜675)によって妥当であることが示されている。
[00110] 異なるシリサイドに関する反応1及び反応2のエンタルピーの比較については以下の表2に示す。
[00111] 反応2のΔH298 が反応1のΔH298 より消極的である場合、保護シリカスケール形成は熱力学的に好都合である。この表は、シリカスケールが最も好都合に形成されるシリサイドがRuSi及びMoSiであることを示している。その上、本発明者らは、酸化の動力学を分析することにより、MoSiが最も高い活性化エネルギーを有し、従って、最も酸化しにくいものである(MoSi自体の酸化ではなく安定した保護層の形成に好都合である)と判断した。
[00112] 図5は、第1のキャッピング層70が第1のキャッピング層第1サブレイヤ71と第1のキャッピング層第2サブレイヤ72とを含む一実施形態を図示している。第1のキャッピング層第1サブレイヤ71は第1の金属の酸化物を含む。第1のキャッピング層第2サブレイヤ72は第1のキャッピング層溶着酸化物を含む。第1のキャッピング層第2サブレイヤ72は第1のキャッピング層第1サブレイヤ71とベース層60との間に位置決めされる。第1のキャッピング層溶着酸化物はベース層60上に付着して、(図4に関連して上述した実施形態におけるベース層第1サブレイヤ61及びベース層第3サブレイヤ63のように)ベース層60内の第2の金属と追加の元素とを含む化合物上に形成されたシリカなどの自然酸化物によって提供されると思われるものと同様の保護を提供することができる。第1のキャッピング層溶着酸化物は、自然酸化物が(例えば高温で)第2の金属と追加の元素とを含む化合物上に容易に又は安定して形成されない場合に提供することができる。一実施形態では、自然酸化物は低い融点を有する可能性があり、例えば、これは使用時に不安定性又は故障を引き起こす可能性がある。このような場合、自然酸化物は、第1のキャッピング層溶着酸化物を付着させる前に除去することができる。一実施形態では、第1のキャッピング層溶着酸化物はシリコンの酸化物(例えばシリカ)を含む。
[00113] 一実施形態では、第2のキャッピング層80はこれと対応する方式で構成される。このような実施形態では、第2のキャッピング層80は第2のキャッピング層第1サブレイヤ81と第2のキャッピング層第2サブレイヤ82とを含む。第2のキャッピング層第1サブレイヤ81は第3の金属の酸化物を含む。第2のキャッピング層第2サブレイヤ82は第2のキャッピング層溶着酸化物を含む。第2のキャッピング層第2サブレイヤ82は第2のキャッピング層第1サブレイヤ81とベース層60との間に位置決めされる。一実施形態では、第2のキャッピング層溶着酸化物はシリコンの酸化物(例えばシリカ)を含む。
[00114] 図6は、図4及び図5の実施形態の組み合わせに対応するメンブレン40を図示している。このメンブレン40では、ベース層60は、図4に関連して上述したように、ベース層第1サブレイヤ61とベース層第2サブレイヤ62とベース層第3サブレイヤ63とを含む。さらに、メンブレン40は、図5に関連して上述したように、第1のキャッピング層第1サブレイヤ71と第1のキャッピング層第2サブレイヤ72とを備えた第1のキャッピング層70を含む。さらに、メンブレン40は、図5に関連して上述したように、第2のキャッピング層第1サブレイヤ81と第2のキャッピング層第2サブレイヤ82とを備えた第2のキャッピング層80を含む。図4及び図5の実施形態に対する追加の層は、第2の金属と追加の元素とを含む化合物を含むベース層60の一部分(ベース層第2サブレイヤ62内のベース層60の放射部分)の改善された保護を提供することにより、メンブレン40のロバスト性を増すことができる。
[00115] 図3〜図6には、第1のキャッピング層70と第2のキャッピング層80を有する実施形態が示されている。しかしながら、これらの層は不可欠なものではない。例えば図7及び図8に示されているその他の実施形態では、第1のキャッピング層70及び第2のキャッピング層80を省略することができる。このタイプのメンブレン40はより容易に製造することができる。このようなメンブレン40は、少なくとも限られたEUV出力について効果的に機能できることが判明している。
[00116] 図7は、メンブレン層50を含むメンブレン40を図示している。メンブレン層50は、金属と追加の元素とを含む化合物を含む。図7の実施形態では、メンブレン40の両方の外面の少なくとも一部がメンブレン層50内の化合物によって形成される。メンブレン層50内の化合物は、図3〜図6の実施形態における第2の金属と追加の元素とを含む化合物について上述した組成のいずれかを有することができる。メンブレン層50の厚さは、図3〜図6の実施形態におけるベース層60について上述した厚さのいずれかにすることができる。
[00117] 図8は、メンブレン層50を含むメンブレン40を図示している。メンブレン層50は、金属と追加の元素とを含む化合物を含む。図8の実施形態では、メンブレン40の両方の外面の少なくとも一部がメンブレン層50内の化合物における追加の元素の酸化物によって形成される。メンブレン層50内の化合物は、図3〜図6の実施形態における第2の金属と追加の元素とを含む化合物について上述した組成のいずれかを有することができる。メンブレン50は、メンブレン層第1サブレイヤ51とメンブレン層第2サブレイヤ52とメンブレン層第3サブレイヤ53とを含む。メンブレン層第1サブレイヤ51は、図4及び図6の実施形態におけるベース層第1サブレイヤ61について上述した組成のいずれかを有することができる。メンブレン層第2サブレイヤ52は、図4及び図6の実施形態におけるベース層第2サブレイヤ62について上述した組成のいずれかを有することができる。メンブレン層第3サブレイヤ53は、図4及び図6の実施形態におけるベース層第3サブレイヤ63について上述した組成のいずれかを有することができる。メンブレン層第1サブレイヤ51及びメンブレン層第3サブレイヤ53はそれによりメンブレン層第2サブレイヤ52を使用中の損傷から保護する。メンブレン層50(メンブレン層サブレイヤ51〜53のすべてを含む)の厚さは、図3〜図6の実施形態におけるベース層60について上述した厚さのいずれかにすることができる。
[00118] 任意選択で、メンブレン40をさらに保護するために1つ以上の更なる層を設けることができる。例えば、ベース層60の上下に(任意の介在する層の有無にかかわらず)Alの薄い層(例えば1nm以下)を設けることができる。
[00119] 図7及び図8に示されているタイプのメンブレン40は、熱力学的に好都合である保護シリカスケールの形成(図8の配置においてメンブレン層第1サブレイヤ51及びメンブレン層第3サブレイヤ53を形成すること)のために、金属がMo又はRuであり、追加の元素がSiである場合に特に効果的であることが判明している。
[00120] 上記の諸実施形態のいずれでも、メンブレン40は、高い放射率を提供する層(ベース層60又はメンブレン層50)がMoとSi又はRuとSiを含む化合物、特にMoとSi又はRuとSiからなる化合物を含む時に特に適切に機能することが判明している。保護シリカスケールの形成は、酸化又はフォトニックエッチングによる損傷に対して高度のロバスト性を保証する。これらの化合物の熱機械特性がEUVでの使用に特に適切に適合していることがさらに判明している。MoSiの熱機械特性について以下に詳細に述べる。同様の考慮事項はRuSiに適用されることが予想される。
[00121] 機械的に、MoSiはSiNに似ている(RuSiについてもそれが予想される)。しかしながら、MoSiはSiNより厚くすることができる。それによりMoSiに基づくメンブレン40は、13.5nmで依然として高いEUV透過性を有しながら、SiNに基づく対応するメンブレンより強くすることができる。さらに、MoSi及びRuSiは本質的に高い放射率を有し、追加の金属性キャッピング層(上述のように、EUV反射を増す傾向があるもの)を必要としないであろう。MoSiからのEUV反射は0.1%未満であることが判明している。
[00122] 図9は、様々な材料のおおよその降伏強さ及び破壊靱性を(楕円として)示している。MoSiを表す楕円はグラフの中心付近に位置する。この楕円はバルクMoSiに関する数値を使用して描かれている。薄い皮膜の場合、この数値はより好都合なものになる。例えばペリクルとしてEUVで使用するためのメンブレン40は、少なくとも0.1GPaの降伏強さ(即ち、MINと示された破線の右側)を有する必要がある。pSiペリクル及びSiNペリクルの降伏強さは同図の下方部分に破線で示されている。MoSiは必要最小限を楽に上回る降伏強さを有する。さらに、MoSiは(例えばpSiの破壊靱性と比較して)比較的高い破壊靱性を有する。高い破壊靱性は、MoSiをより薄くしてEUV透過率を改善できることを意味する。
[00123] さらに、延性脆性遷移温度(DBTT)はMoSiの場合、1000℃を上回る。これは、メンブレン40が正常な使用時に達する可能性のある最高温度TMAX(以下の図10に示されている)より高い。従って、MoSiに基づくメンブレン40は、TMAXより低いDBTTを有する材料(例えば図10にpSi DBTTと表示された破線によって示されているpSi)より、著しいクリープ及びそれに対応する張力損失を被る可能性が低く、使用時にDBTTを通してこの材料を熱的に循環させることができる。
[00124] 降伏強さの温度依存性は図10に示されている。例えば、pSi及び純粋Moとは対照的に、MoSiの降伏強さは安定したままであり、1500°Kまで0.1GPaという最小値を上回る。
[00125] 図11〜図13は、使用中に熱を放射するために関連の波長範囲における異なる厚さのMoSiの吸光度(放射率に対応する)について検討した実験及び理論研究の結果を示している。吸光度(放射率)は透過率及び反射率から導出することができ、これは実験的に測定するか又は理論的に推定することができる。図11は、この波長範囲における透過率の変動を示している。図12は、この波長範囲における反射率の変動を示している。図13は、この波長範囲における吸光度(放射率)の変動を示している。それぞれのグラフでは、4つの理論的に決定された曲線が提供され、301、302、303、及び304と表示され、破線として示されている。さらに、3つの実験的に決定された曲線が提供され、311、312、及び313と表示され、実線として示されている。各図の曲線301は、SiNの厚さ25nmの層(放射率に著しく貢献しないもの)の一方の側のMoSiの3.5nmの層に関する理論的結果を示している。各図の曲線302は、SiNの厚さ25nmの層の両側のMoSiの3.5nmの層に関する理論的結果を示している。各図の曲線303は、pSiの厚さ50nmの層(放射率に著しく貢献しないもの)の両側のMoSiの3.5nmの層に関する理論的結果を示している。各図の曲線304は、MoSiの厚さ31nmの層単独に関する理論的結果を示している。各図の曲線311は、SiN上のMoSiの厚さ3.5nmの層に関する実験結果を示している。各図の曲線312は、SiN層の両側の厚さ3.5nmの層に関する実験結果を示している。各図の曲線313は、pSi層の両側のMoSiの厚さ3.5nmの層に関する実験結果を示している。
[00126] 図11〜図13は、MoSiの薄い層の場合でも、約0.2以上の吸光度(放射率)を達成できることを示している。MoSiのより厚い層の場合、0.4より高い吸光度を達成することができる。10〜50nmの範囲内のMoSiの層を含むメンブレン40では0.4を上回る吸光度(放射率)を達成するはずであると予想される。MoSiの非常に薄い層の場合でも達成される高い観測放射率は、MoSiが使用中に劣化して薄くなった場合でもメンブレン40の放射率が比較的高いままになる可能性があることを示唆している。従って、熱負荷は相当な期間の間、制御下に置かれたままになる可能性がある。従って、メンブレン40の故障を遅らせることができる。
[00127] 直径1.5cm及び厚さ20nmを有するMoSiメンブレン40の例が製造された。図14は、メンブレン40の例の透過率がメンブレン40の表面上で変動することが判明したことを示している。この透過率は84%〜88%の間で変動し、最も一般的な透過率(グラフのピーク)は約86.5%である。例えば、密度を高めるため、酸化を低減するため、あるいはその両方のためのプロセス改善により、さらに透過率を改善できることが予想される。メンブレン40は、吸収された2ワット/cm超に相当するEUV出力に耐えることができた。EUV反射率はRu、Mo、及びZrの反射率より低かった。また、EUV反射率も0.05%というターゲット基準より低かった。
[00128] 図3〜図8に関連して上述したように、MoSiは保護シリカスケールを形成する。実験により、このシリカスケールは吸収された0.65W/cmに相当するEUV出力で安定していたが、シリカスケールは1.8W/cmに相当するEUV出力でEUV光子によってエッチングされ始めることが示唆される。これらの実験の結果については図15及び図16に関連して以下に説明する。
[00129] 図15及び図16は、吸収された0.65W/cm(図15)及び吸収された1.8W/cm(図16)についてEUV波長と相関するものとして透過率%の測定変動を示している。図15の実験では、透過率はメンブレン全体にわたっておおよそ均一であることが判明した。しかしながら、図16の実験では、メンブレン40の中央領域でかなり高い透過率が見られた(破線で示されている)。また、中央領域は赤熱していることも判明した。これは、中央領域における保護スケールに対する除去又は損傷によりMoSiがエッチングで除去されたことを示唆している。
[00130] 図15及び図16の実験は、図8の実施形態がより低いEUV出力用に使用できるが、より高いEUV出力には図3〜図6に関連して上述したような追加の保護層を含む諸実施形態が望ましい可能性があることを示唆している。
[00131] 図17〜図25は、一実施形態によりメンブレン40を製造するためのプロセスフローの例を図示している。このプロセスフローは特に限定されるわけではない。要望通りにその他の様々なプロセスフローを使用することができる。
[00132] 図17は、シリコンウェーハ320を含む開始状態を図示している。
[00133] 図18に示されているように、シリコンウェーハ320の熱酸化により酸化物層322が形成される。
[00134] 次のステップでは、図19に示されているように、MoSi層324が酸化物層322上に付着する。この付着は、例えば化学蒸着(CVD)、原子層堆積(ALD)、又は物理蒸着(PVD)などの任意の適切な技法を使用して実行することができる。
[00135] 次のステップでは、図20に示されているように、テトラエチルオルトシリケート(TEOS)酸化物層326がMoSi層324上に形成される。
[00136] 次のステップでは、図21に示されているように、スタックの裏面で酸化物層322が除去される。
[00137] 次のステップでは、図22に示されているように、カプセル化層(encapsulation layer)328がスタックの周りに設けられる。カプセル化層328は、プラズマ促進化学蒸着(PECVD)又は低圧化学蒸着(LPCVD)で付着させたSiマスク(例えばSi)を含むことができる。
[00138] 次のステップは、図23に示されているように、カプセル化層328内のウィンドウのパターン形成及びドライエッチングを含む。
[00139] 次のステップでは、KOHエッチングを適用して、裏面上のシリコンウェーハ320の一部分を選択的に除去する。
[00140] 最後に、ウェット又はドライエッチングを適用して、TEOS層326及び酸化物層324の少なくとも一部を除去してメンブレン40を形成する。
[00141] 上記の諸実施形態では、赤外線中で高い放射率を有する金属酸化物材料(第2の金属と追加の元素とを含む化合物、例えばMoSi)がメンブレン40のベース層60に使用される。使用中のロバスト性及び信頼性を改善するために様々な異なるキャッピング層を設けることができる。図26〜図28は、赤外線中で高い放射率を有する代替メンブレン40を生成するための製造プロセスの諸ステップの例を示している。代替メンブレンは必ずしもベース層60内に第2の金属と追加の元素を使用するわけではない。ポリシリコンなどの標準的な材料をベース層60に使用することができる。赤外線中の高い放射率は、ベース層60に加えて設けられた放射率層(赤外線中で高い放射率を有する層)によって提供される。高い放射率を提供するために使用できる材料の範囲は、放射率層からの有害なEUV反射を低減するために放射率層の表面のトポロジーを適合させることによって増加される。
[00142] この方法はベース層60を設けることを含む。この方法は放射率層400を設けることをさらに含む。放射率層400は赤外線中で高い放射率を有する。例えば、放射率が0(最低)〜1(最高)の間で変動する場合、放射率は0.2より大きく、任意選択で0.3より大きく、任意選択で0.4より大きくすることができる。従って、放射率層400は、効果的に熱を放射し、メンブレン40が使用中に過熱するのを防止する。一実施形態では、放射率層400の放射率はベース層60の放射率より高い。
[00143] 一実施形態では、ベース層60はポリシリコンを含む。
[00144] 一実施形態では、放射率層400は、Ru、Mo、Zr、及びNbのうちの1つ以上を含む。Ruは(約1nm〜10nmの厚さ範囲で設けられた場合)特に良好な放射率を提供することが判明している。滑らかな表面を有する層として設けられた場合、Ru及びその他の材料は近法線入射EUVのための比較的高い反射率を有する(Ruの場合は約1%)。この高い反射率は望ましくないことにコントラストを低減する。
[00145] 低EUV反射ペリクルを作るために、本質的に低EUV反射の材料を使用することなど、幾つかの主要戦略が存在する。他の戦略は、以下に記載するように、粗くした境界面及び/又は中間層を使用することである。
[00146] 近法線入射のための反射率は、不規則支持表面上に放射率層400を設けることによって低減される。不規則支持表面は、平面支持層(planar support layer)402又はベース層60の表面(あるいは、ベース層60の表面の不規則性が平面支持層に転写される場合はその両方)によって形成することができる。放射率層402は、不規則支持表面の不規則性が支持表面とは反対側の放射率層400の表面において対応する不規則性を発生するような厚さを有する。放射率層400の表面における不規則性は、その不規則性の規模が比較的小さい場合は表面粗さとして記述することができる。30nmのポリシリコン上の4nmのRuの滑らかな皮膜の場合、本発明者らは、法線入射EUV反射率R=約1.2%であると判断した。対照的に、1nmの特性長規模の粗さによって規定される不規則支持表面上に形成されたRuの放射率層400はR=約0.5%を有する。一実施形態では、特性長規模は、表面トポロジーのピーク対トラフの高さの差の平均として定義される。しかしながら、ピーク間の面内分離は大きすぎてはならない。典型的に、ピーク間の平均面内分離は約100nmより小さい。3nmの特性長規模の粗さによって規定される不規則支持表面上に形成されたRuの放射率層400は0.001%より小さいRを有する。諸実施形態では、放射率層400の表面における不規則性は、少なくとも2nm、任意選択で少なくとも3nmの特性長規模を有する。放射率層400の表面における不規則性は、3nmより著しく長く、EUV波長まで、さらにそれを超える長さ規模を有する可能性がある。このような不規則表面は、ランダムな方向及びサイズを備えたファセットを有する表面として記述することができる。ファセットは、ゼロ次(法線入射)反射をランダムな方向に像の外に方向変更し、それによりコントラストに対する負の効果を低減するか又は除去する。この効果は、ファセットが表面粗さに通常関連する不規則性より著しく大きい場合でも、粗さと同様のものである。
[00147] 不規則支持表面は様々なやり方で形成することができる。一実施形態では、ベース層60及びベース層60上に形成された平面支持層402のいずれか一方又は両方を加工して、不規則支持表面を生成する。図26はこのタイプの方法の結果を図示している。この実施形態では、ボトムエッチングを施したシリコンウェーハ320は、下部キャッピング層401(例えばSi)、ポリシリコンベース層60、平面支持層402、及び放射率層400をボトムアップ式に支持する。平面支持層402の付着前にポリシリコンベース層60の上面に異方性エッチングが適用される。ポリシリコンのクリスタリットサイズは、異方性エッチングによって所望の不規則性を有する上面がベース層60に生成されるように選択される。次に、平面支持層402が十分に薄い層として設けられ、ベース層60の上面の不規則性が平面支持層の上面において対応する不規則性を生成し、それにより放射率層400のための所望の不規則支持表面を形成する。放射率層400は同様に十分に薄い層として設けられ、平面支持層402の上面における不規則性が放射率層400の表面において対応する不規則性を生成する。平面支持層402及び放射率層400は例えば約1nm〜約10nmの範囲内の厚さを有することができる。
[00148] 図27及び図28は、シリコンウェーハ320とベース層60との間に追加の層406が設けられる、放射率層400を形成するための代替方法における諸ステップを図示している。一実施形態では、追加の層406はポリシリコンなどの多結晶材料を含む。追加の層406は、平面支持層402(例えばSi)の上面に付着する前に不規則上面を生成するように加工される。この加工は例えば異方性エッチングを含むことができる。ベース層60は、例えばポリシリコンなどの多結晶層も含むことができ、平面支持層402上に形成される。示されている実施形態では、上部キャッピング層407(例えばSi)はベース層60上に形成され、それにより図27に示されている配置を提供する。その後、シリコンウェーハ320の一部分及び追加の層406の一部分を平面支持層402の底面(エッチストップとして作用する可能性がある)まで除去するために、バックサイドエッチングが適用される。平面支持層402の下面は、平面支持層402が元々は追加の層406の不規則上面上に形成されたために、不規則支持表面である。次に、放射率層400を不規則支持表面上に付着させて、図28の配置を生成する。放射率層400は十分に薄い層として設けられ、不規則支持表面における不規則性が放射率層400の両方の表面において対応する不規則性を生成する。
[00149] 図28に示されているタイプの配置では、ベース層60とシリコンウェーハ320との間の追加の層406に提供された不規則性(例えば粗さ)は、ベース層60とシリコンウェーハ320との間の接着性を改善することもできる。
[00150] 不規則支持表面が多結晶材料の異方性エッチングによって形成される諸実施形態では、不規則支持表面におけるクリスタリット面の、メンブレンの法線に対する平均角度は好ましくは85%未満、任意選択で80%未満、任意選択で70%未満、任意選択で60%未満、任意選択で50%未満である。この性質は、多結晶材料におけるクリスタリットサイズ及び異方性エッチングにおけるエッチング条件の適切な選択により達成することができる。90度からの偏差により、クリスタリット面からの法線入射放射の鏡面反射が法線方向から離れるように誘導される。上述の90度未満の角度の場合、鏡面反射の大部分が法線から非常に離れるように誘導されるので、その反射は結像装置によって捕捉されず、従って、コントラストに対して負の影響を及ぼすことになることが判明している。
[00151] 図29に概略的に図示されているように、メンブレンは典型的に、長方形のマスク410(左)を使用して支持構造(例えばシリコンウェーハ)の一領域を規定し、エッチングによって除去し、それによりメンブレン40を解放して形成される。結果として生じるメンブレン40の自立部分(右)はマスク410と同じ全体形状を有することになる。メンブレン40の自立部分の形状は自立部分境界線412によって規定される。長方形のマスク410の場合、自立部分境界線412は真っ直ぐな4辺と90度の隅414(小さい角度範囲内)を含むことになる。本発明者らは、90度の隅414がメンブレン40の自立部分において著しい応力集中を引き起こすと判断した。この応力集中は、メンブレン40の故障の可能性を増すか及び/又はメンブレン40の寿命を短縮するものである。応力集中は製造時にメンブレン40のサイズのわずかな変化によって形成され、これは、メンブレン40がもはや支持フレームにぴったり収まらない(例えば膨れだしを引き起こす)ことを意味する。
[00152] 本発明者らは、90度より大きい内角を備えた隅を生成すると応力集中を低減するか又は回避すると判断した。それによりメンブレン40の信頼性及び/又は寿命を改善することができる。隅付近の光学擾乱も低減される。
[00153] 従って、一実施形態では、例えば図30に図示されているように、EUVリソグラフィ用のメンブレン40が提供される。メンブレン40は、マスク410(左)を使用してエッチングすべき支持構造の領域を規定し、次に規定された領域をエッチングして生成することができる。支持構造は、メンブレン40の層を支持するシリコンウェーハを含むことができる。規定された領域のエッチングは、シリコンウェーハの一部分を除去してメンブレン40を解放することを含む。メンブレン40の一部分は自立状態になる。メンブレン40の自立部分の形状は自立部分境界線412(右)によって規定される。自立部分境界線412は複数の実質的直線セクションを含む。図30に示されている例では、自立部分境界線412は8つの実質的直線セクションを含む。各対の直接隣接する直線セクション間の内角416は、90度、任意選択で少なくとも95度、任意選択で少なくとも100度、任意選択で少なくとも110度、任意選択で少なくとも120度より大きくなるように配置される。これは、図30には内角のうちの1つのみについて示されているが、内角416のすべてが90度より大きいことは明瞭に理解することができる。外角418は、180度から内角416を引いたものであり、90度より小さくなる。それにより応力集中が低減され、メンブレンの信頼性及び/又は寿命が改善される。メンブレン40の隅付近の光学擾乱(応力集中によって引き起こされる)も低減される。
[00154] 異方性エッチングを使用してメンブレン40を解放する場合、エッチングは結晶面を辿ることになる。これは、内角416が顕微鏡規模で隅の形態を完全に示すわけではないことを意味する可能性がある。しかしながら、この実施形態によって提供される、ある直線セクションから他の直線セクションへの遷移は、90度の隅が設けられる場合より応力集中を低減するという点でさらに改善される。隅において失われるペリクルエリア(長方形の場合に対して)は非常に大きいものである必要はない(例えば、約10〜100平方ミクロン程度にすることができる)。従って、隅のジオメトリの変化は、使用時にEUV放射が通過するメンブレン40の領域を妨害しないように容易に設計することができる。
[00155] ポリシリコン又は第2の金属と追加の元素とを含む化合物(例えばMoSi)から形成されたベース層60を含むメンブレン40は、過度な酸化からベース層を保護するSiOなどの自然酸化物(保護スケール)を形成することができる。しかしながら、この自然酸化物は、EUV放射によってエッチングで除去され、最終的に使用中にベース層60を完全に保護するのを止める可能性がある。本発明者らは、ホウケイ酸ガラスを含むキャッピング層がベース層60の保護を改善できると判断した。図31は、メンブレン40がホウケイ酸ガラスを含むキャッピング層420によってそれぞれの側が保護されたベース層60を含む配置の例を示している。ホウケイ酸ガラスを含むキャッピング層420は通常、ポリシリコン、第2の金属と追加の元素とを含む化合物、並びにMoC及びその他の炭化物などの様々なその他の組成を含む、多様なベース層60の組成と組み合わせて使用することができる。
[00156] ホウケイ酸ガラスは、ベース層60の自然酸化物の代わりに形成することができる。代替的に、ベース層の自然酸化物をホウケイ酸ガラスに転換することによってホウケイ酸ガラスを形成することができる。代替的に、ベース層60の自然酸化物を覆うようにホウケイ酸ガラスを形成することができる。
[00157] 使用可能な様々なホウケイ酸ガラス組成により、キャッピング層420の性質の望ましい調整が可能になる。本発明者らは、例えばホウケイ酸ガラスの組成の適切な選択により自然酸化物と比較して優れた安定性を達成することが可能であると判断した。
[00158] ホウケイ酸ガラスの薄い層を付着させるCMOS適合方法は当技術分野で知られている(例えば、J. Leib、O. Gyenge、U. Hansen、S. Maus、K. Hauck、I. Ndip、M. ToepperによるLow Temperature Glass-Thin-Films for use in Power Applications、2011 Electronic Components and Technology Conference、978-1-61284-498-5/1lを参照)。Siの熱膨張係数(CTE)と非常に密接に一致するCTEを備えたコーティングをもたらす方法が報告されている。ベース層60がポリシリコンを含む場合、この一致の結果、自然酸化物層(CTEが概してあまり十分に一致しない場合)と比較して高出力EUVの適用例において優れた機械的性質が得られるはずである。その上、比較的低温で軟化することができ、亀裂形成の危険性及びそれに関連する保護的性質の損失を低減する、ホウケイ酸ガラスの調合物が入手可能である。
[00159] ホウケイ酸ガラスキャッピング層420を付着させるための様々な技法が入手可能である。ベース層60が最初にベース層60の外面上に自然酸化物(例えば1.5〜2nmの層のSiO)とともに設けられる場合について、以下に3つの例を示す。
[00160] 第1の方法例では、自然酸化物が剥離される。これは、例えばメンブレン40をHFに浸漬することによって達成することができる。次に、ホウケイ酸ガラスの層(例えば厚さ数nm、例えば厚さ2nm)をベース層60上に付着させて、キャッピング層420を形成する。ホウケイ酸ガラスを付着させるために、例えば、プラズマ促進電子ビーム付着を使用することができる。
[00161] 第2の方法例では、自然酸化物の上にホウケイ酸ガラスの層を付着させる。この配置は酸化に対する保護を強化することができるが、自然酸化物の存在がEUV透過率を低減することになる。
[00162] 第3の方法例では、自然酸化物としてのSiOがホウケイ酸ガラスに変換される。これは、例えばSiOをB及びこのような変換のために当技術分野で知られているその他の添加剤と反応させることによって達成することができる。例えば、B層は、NaO、LiO、P、又は所望の性質のガラスに必要なものとともにSiO上に同時付着させることができる。この転換を完了するために高温アニールステップを適用することができる。
[00163] 代替実施形態では、キャッピング層420は、Moと、Ta、Ti、Cr、Ni、及びNbのうちの1つ以上などの少なくとも1つの他の金属との合金を含む。このようなキャッピング層420は、例えばポリシリコンを含むベース層60と組み合わせて使用することができる。Moは望ましいことに赤外線中で高い放射率を有するが、室温でも空気中で酸化を被り、高温で急速に酸化することになる。Moを酸化から保護するために追加のキャッピング層を設けることができるが、これはメンブレン40の複雑さを増すことになるであろう。Moと他の金属との合金化は、追加のキャッピング層を必要とせずにMoの酸化を著しく低減する。Moと約5wt%未満の他の金属との合金化は、酸化に対するMoの安定性を実質的に増すことが予想される(例えば、A. List、C. Mitterer、G. Mori、J. Winkler、N. Reinfried、W. KnablによるOxidation of Sputtered Thin Films of Molybdenum Alloys as Ambient Conditions、17th Plansee Seminar 2009、Vol. 1を参照)。MoとTiとの合金化及びMoとTaとの合金化は酸化に対する特に良好な保護を提供する。従って、このような合金から形成されたキャッピング層420は、事実上、赤外線中の高い放射率という機能と酸化に対する保護という機能の両方を提供する二機能の層である。
[00164] 代替実施形態では、メンブレン40には、YSi、ZrSi、LaSi、及びNbSiのうちの1つ以上を含むベース層60が設けられる。これらの4つの材料のそれぞれはMoSiよりEUVに対してより透過的である。YSi及びZrSiは特に効果的であり、MoSiのEUV透過性の2倍に及ぶEUV透過性を提供する。4つの材料の放射率及び熱機械特性はMoSiと同様である。高い放射率は、追加の放射金属層を全く必要としないことを意味する。熱機械特性は、ベース層60をポリシリコンの代替物より実質的に薄いものにすることができ、これも高いEUV透過率を促進するのに役立つことを意味する。YSi、ZrSi、LaSi、又はNbSiから形成されたベース層60は酸化に対して安定したものにはならず、従って、酸化に対する保護を提供するためにキャッピング層430を設けることができる。
[00165] このタイプのメンブレン40の一例は図32に示されている。メンブレン40はYSi、ZrSi、LaSi、及びNbSiのうちの1つ以上を含む。キャッピング層430が設けられる。示されている特定の例では、キャッピング層430はベース層60の上面及び下面の両方に設けられる。
[00166] 示されている例では、キャッピング層430はキャッピング層第1サブレイヤ431とキャッピング層第2サブレイヤ432とを含む。キャッピング層第1サブレイヤ431はベース層60と接触し、キャッピング層第2サブレイヤ432はベース層60とは反対側のキャッピング層第1サブレイヤ431の一方の側に位置決めされる。一実施形態では、キャッピング層第1サブレイヤ431はMoSi又はSiを含む。キャッピング層第2サブレイヤ432を形成するために様々な材料を使用することができる。一実施形態では、キャッピング層第2サブレイヤ432はBを含む。
[00167] 1つの詳細な例では、メンブレン60は、20〜30nmの厚さのYSi又はZrSiを含むベース層60を設けることによって製造される。次のステップでは、Si又はMoSiのキャッピング層第1サブレイヤ431(2〜4nm)がベース層60に付設される(抗酸化バリアを提供し、スタックが典型的な製造プロセスフロー条件に対してロバストなものになるようにするため)。次のステップでは、EUV透過率を増すためにSiO自然酸化物が除去される(例えば、He/Hプラズマエッチングを使用する)。SiO自然酸化物の除去は、その後に付設されるキャッピング層第1サブレイヤ431とキャッピング層第2サブレイヤ432との間の結合を改善するダングリングボンドも提供する。次のステップでは、キャッピング層第2サブレイヤ432がキャッピング層第1サブレイヤ431(例えばB)上に付設される。キャッピング層第2サブレイヤ432はインシチュ(in−situ)で付設され、SiO自然酸化物がキャッピング層第1サブレイヤ431上で改質しないことを保証する。
[00168] 対応策がない場合、キャッピング層はEUV露光条件中に劣化する可能性がある。例えば、Ru、Mo、B、及びZrなどの金属(並びにそれぞれの合金、そのホウ化物、炭化物、又はシリサイドを含む組み合わせ)が酸化されることが観測されている。酸化はEUV透過を低減する。金属含有量及びそれに対応する導電率の低減により、酸化はメンブレンの放射率も減少させる。化学安定性は、反応性O空格子点を含む酸化物表面のために損なわれる可能性がある。キャッピング層の厚さ、組成、及び粗さの変化もEUV反射率に影響を及ぼすことになる。本発明者らは、キャッピング層の耐酸化性及び化学安定性における重要な要因は結晶の微細構造であると認識している。多くの典型的なキャッピング層、特に金属性キャッピング層は多結晶である。粒界は原子拡散のための経路を提供するので、粒界は酸化バリアとしての不十分な性能を引き起こす。また、粒界は、その不完全な構造のために粒子内部より化学的侵食を受けやすい。本発明の諸実施形態は、非晶質キャッピング層を設けることにより、改善された性能を提供する。
[00169] 一実施形態では、メンブレン40を製造する方法は、ベース層60(又はベース層60と1つ以上の他の層とを含むスタック)及びキャッピング層70を設けることを含む。キャッピング層70は非晶質キャッピング層である。キャッピング層70はメンブレン40の外面を提供する。ベース層60及びキャッピング層70は、例えば図3の一般的構成を採用することができる。この構成では、2つのキャッピング層(第1のキャッピング層70及び第2のキャッピング層80)が設けられる。その他の実施形態では、キャッピング層70は、メンブレン40の一方の側のみに設けることができる(例えば、パターニングデバイスを保護するためのメンブレンの場合、キャッピング層70はパターニングデバイスに面する側のメンブレン40上に設けることができる)。ベース層60及び任意のその他の層の組成及び厚さは、上記の諸実施形態のいずれかに記載されている通りである場合もあれば、その他の組成及び厚さが使用される場合もある。非晶質キャッピング層70を設けることの利点は特にメンブレン40の他の層の性質に依存するわけではない。従って、この手法は、例えばポリシリコン、SiN、MoSi、及びグラフェンを含むベース層を含む、現在のメンブレン設計及び将来のメンブレン設計に適用することができる。
[00170] 一実施形態では、キャッピング層70は金属性である。一実施形態では、キャッピング層70は合金を含む。以下にさらに詳細に記載されるように、合金の使用はキャッピング層70内の結晶化を抑止することができ、それにより非晶質状態を促進する。一実施形態では、この合金は、Ru、Mo、B、C、Cr、Ir、Nb、Pd、Pt、Re、Rh、Ti、V、Yのうちの1つ以上、好ましくはB、C、Yのうちの1つ以上を含む。例えば、1)Ruと、Rh、Pd、Pt、Y、B、Ti、C、及びPのうちの1つ以上、2)Moと、B、Ta、Nb、Cr、及びRuのうちの1つ以上である。
[00171] 一実施形態では、この合金は、B(例えば10〜20%のB)がドープされたRu、PがドープされたRu、又はMo(1−x−y)Ruを含む。これらの材料は、H、O、及びHOによる浸透に対して純粋Ruより高い抵抗を提供する。EUV、Hプラズマ、及び熱負荷に曝された時に増加した安定性も達成され、有益な非晶質構造はこれらの状況で保持される。表面反応特性は純粋Ruと比較して改善され、例えば基板W上の金属含有レジストから発生する金属汚染物質に関するリアクタンスが小さくなる。従って、キャッピング層70の清浄性は改善される。一実施形態では、キャッピング層内の段階的濃度プロファイルが提供され、ドーパント(例えばB又はP)の濃度はキャッピング層70内のより深い位置よりキャッピング層70の少なくとも1つの表面付近でより高くなり、それにより過剰な全体レベルのドーパントなしに高い清浄性を提供する。
[00172] 粒界の欠如によって提供される増加した耐酸化性は、時間が経つにつれてEUV透過及びEUV反射の変動を低減することになる。キャッピング層70の金属性を保持することは、放射率の変化を最小限にし、メンブレンがより長い間、高温で存続できるようにする。
[00173] また、キャッピング層70の非晶質性は多くの材料選択肢について反射率の低減をもたらし、メンブレン40のキャッピング層70とベース層60との光学コントラストは通常、キャッピング層70が多結晶である時と比較して、キャッピング層70が非晶質である時の方が低くなる。
[00174] 非晶質キャッピング層70を有するメンブレン40を製造するための手法の例について以下に説明する。
[00175] 一実施形態では、非晶質キャッピング層70を形成することは、少なくとも2種類の異なる金属を同時に付着させて(例えば、同時スパッタリングによる)合金を形成することを含む。異なるサイズで異なるタイプの金属原子は結晶化プロセスを混乱させ、それにより非晶質層の生成を促進する。この同時付着プロセス中に、形成されている層の表面に衝突する粒子のエネルギーを増すためにバイアスを加えることができ、これは位相形成を変えるものである。従って、加えられたバイアスはキャッピング層70の非晶質化に貢献する。また、加えられたバイアスは、皮膜の微細構造に依存するキャッピング層70のその他の特性(例えば、応力、抵抗率、接着性)を調整するために都合良く使用することもできる。
[00176] 代替実施形態では、非晶質キャッピング層70を形成することは、第1のガス及び第2のガスの存在下で非晶質キャッピング層70を付着させることを含み、第2のガスの存在は、第1のガスのみの存在下で実行された同じ付着プロセスと比較して非晶質キャッピング層70の結晶化を抑止する。アルゴン(Ar)に加えられた少量の窒素(N)など、形成(例えば付着)中にこのような第2のガスを含むことは、付着させた非晶質キャッピング層70の構造を混乱させる可能性があり、それにより結晶構造ではなく非晶質の形成を促進する。第2のガス(例えば窒素)は、付着される原子の原子移動度及び活性化エネルギーを低減する可能性がある。第2のガス(例えば窒素)は結晶化動力学を減速する可能性があり、それにより非晶相を安定化する。
[00177] 代替実施形態では、非晶質キャッピング層70を形成することは、ベース層60(又はベース層60とその他の層とを含むスタック)が結晶化を抑止するために室温未満の温度に保持されている間に実行される。従って、メンブレン40は、付着前に予冷し、付着プロセス中に積極的に低い温度に保持することができる(例えば、冷却剤として水又はガスを使用する)。この低温は結晶粒成長を抑制し、相互拡散/中間層形成を抑制する。付着プロセスが完了すると、原子が移動し、相互拡散するために必要なエネルギーが増加している。従って、室温まで温めることはもはや相互拡散プロセスを開始するために十分ではなく、キャッピング層70は安定した非晶相に留まることになる。
[00178] 非晶質キャッピング層70の非晶質化の程度は、例えば、原子間力顕微鏡(AFM)、透過電子顕微鏡(TEM)、走査電子顕微鏡(SEM)測定を含む、様々な技法を使用して測定しモニターすることができ、従って、プロセス制御により製品の品質を改善するように貢献する。
[00179] 上述した非晶質キャッピング層70を設けることに関連する利点は、ペリクルなどのメンブレンに適用可能なだけではない。例えば、H、O、HO、EUV、Hプラズマ、熱負荷などに対する耐性の増加に関する利点はEUVミラー又は反射レチクルにも適用可能である。さらに、例えばB又はPドープのRu及び/又はMo(1−x−y)Ruが純粋Ru又はMoより優れた光学特性(例えば、より低いEUV吸収及びより高い反射率)を有することになることが予想される。EUVミラーの場合、B又はPドープのRu及び/又はMo(1−x−y)Ruは、ブリスタリングを低減すること並びに任意のZrOオーバーコーティングのための改善された下面を提供することが予想される。金属性汚染物質(例えばSn)に関する反応性を低減することは、ZrOなどのオーバーコーティングを不要にする可能性もある。多層反射構造におけるMo層はB又はPドープのRu及び/又はMo(1−x−y)Ruで置き換えることができ、それにより層ごとにより低い粗さとより低いEUV散乱を提供する。
[00180] 代替実施形態では、ベース層60とキャッピング層70とを有する、EUVリソグラフィ用のメンブレン40が提供される。キャッピング層は、少なくとも20%(80%未満のsp炭素)、任意選択で少なくとも50%、任意選択で少なくとも75%、任意選択で実質的に100%の割合のsp炭素を有する炭素を含む。キャッピング層70はメンブレン40の外面を提供する。sp及びspの両方の炭素を含有する炭素はダイヤモンド様炭素と呼ばれる。sp炭素は高いEUVTを有し、Hプラズマによるエッチングに対する耐性があり、高温に耐えることができる。その上、気相から表面上に付着したsp炭素はHプラズマで浄化することができるので、sp炭素は光学部品の寿命に関連する問題の危険性をもたらさない。ベース層60及びキャッピング層70は、例えば図3の一般的構成を採用することができる。この構成では、2つのキャッピング層(第1のキャッピング層70及び第2のキャッピング層80)が設けられる。その他の実施形態では、キャッピング層70は、メンブレン40の一方の側のみに設けることができる(例えば、パターニングデバイスを保護するためのメンブレンの場合、キャッピング層70はパターニングデバイスに面する側のメンブレン40上に設けることができる)。ベース層60及び任意のその他の層の組成及び厚さは、上記の諸実施形態のいずれかに記載されている通りである場合もあれば、その他の組成及び厚さが使用される場合もある。キャッピング層70は、例えば、MoSi、B/BC、pSi、ZrSi、グラフェン、その他のうちの任意の1つ以上を含むベース層60上に設けることができる。
[00181] 一実施形態では、キャッピング層70は、例えばSi含有ベース層60のためのホウ素及びZrOに代わるものとして使用することができる。他の実施形態では、キャッピング層70は、炭素、例えば多層グラフェン又はカーボンナノチューブを含むベース層60と組み合わせて使用され、それによりHプラズマに対する貴重な耐性を提供する。一実施形態では、すべて炭素のベース層60とすべて炭素のキャッピング層70を設けることにより、メンブレン60が全体として単一化学元素から形成することができ、それによりメンブレン60が問題のある元素とともに使用される環境をメンブレン60が汚染する危険性を低減する。メンブレン60は、キャッピング層70のためにより高い温度及びHプラズマに耐える能力があるので、グラフェンのみのペリクルより優れている。一実施形態では、ベース層60及びキャッピング層70の両方が炭素から形成されるが、ベース層60はキャッピング層70よりダイヤモンド様の性質が弱い(即ち、より低い割合のsp炭素を含有する)。従って、ベース層60は、sp炭素の割合がキャッピング層70の炭素中のsp炭素の割合より低い炭素を含むことができる。ダイヤモンドは純粋sp炭素と比較して非常に低いIR放射率を有し、従って、純粋炭素のメンブレンの場合、十分に高いIR放射率を提供するために純粋sp炭素成分(又は高い割合のsp炭素を有する成分)が必要になる。
[00182] sp炭素の割合は層の特性に対して強い影響を及ぼす。純粋ダイヤモンドは純粋spであり、優れたエッチング耐性を有する(ダイヤモンドは、低エネルギーのH原子によりグラファイトより75〜7000倍遅くエッチングされる)。純粋ダイヤモンドを使用する製造は挑戦的であるが、sp及びspの両方の炭素を含有するダイヤモンド様炭素の層は純粋sp炭素と比較して際だって改善されたエッチング耐性を示す。
[00183] ダイヤモンド様炭素を付着させるために、例えば、プラズマ促進CVD、デポジション、イオンアシスト蒸着、スパッタリング、陰極真空アーク(CVA)、プラズマ蒸着、及びパルスレーザ蒸着(PLD)を含む、様々なプロセスが知られている。付着方法の変動は、達成されるsp/sp比を決定することになる。従って、付着方法は、所望のsp/sp比と相関するものとして選択することができる。超薄型皮膜は典型的に約1のsp/sp比(50%のsp)に達する可能性がある。
[00184] 代替実施形態では、ベース層60と、第2の金属がドープされた第1の金属を含むキャッピング層70とを有する、EUVリソグラフィ用のメンブレン40が提供される。キャッピング層70はメンブレン40の外面を提供する。一実施形態では、第1の金属は遷移金属を含む。一実施形態では、第2の金属はアルカリ金属又はアルカリ土類金属を含む。ベース層60及びキャッピング層70は、例えば図3の一般的構成を採用することができる。この構成では、2つのキャッピング層(第1のキャッピング層70及び第2のキャッピング層80)が設けられる。その他の実施形態では、キャッピング層70は、メンブレン40の一方の側のみに設けることができる(例えば、パターニングデバイスを保護するためのメンブレンの場合、キャッピング層70はパターニングデバイスに面する側のメンブレン40上に設けることができる)。ベース層60及び任意のその他の層の組成及び厚さは、上記の諸実施形態のいずれかに記載されている通りである場合もあれば、その他の組成及び厚さが使用される場合もある。
[00185] 他の金属、特にアルカリ又はアルカリ土類金属、特にSr又はCaによる金属、特に遷移金属、特にRuのドーピングは、様々な点で改善された性能を提供する。
[00186] ドーピングはキャッピング層70の熱安定性を増すことができる。アルカリ土類金属は比較的高い蒸気圧を有し、従って、ドープされる材料の揮発性を低減することができる。例えば、SrがドープされたRuの場合、Srに関連する蒸気圧の方が高いことは、Ruの揮発性が低減されることを意味する。
[00187] ドーピングは、キャッピング層70におけるエピタキシャルひずみを緩和することによりキャッピング層70の均一性を増すことができる。
[00188] ドーピングはEUV透過を増すことができる。例えば、SrがドープされたRuの場合、SrはRuよりEUVに対する透過性が著しく高いので、より高い透過性が達成される。
[00189] ドーピングはEUV反射を増すことができる。例えば、SrがドープされたRuの場合、Srはキャッピング層70とベース層60との屈折率の差を減少させる。
[00190] アルカリ土類金属は安定した元素であり、相間離隔が発生する前により高い濃度までドープすることができる(典型的なドーピング範囲は1〜10%程度である)。その上、金属性のSrは、強化された導電率/放射率を促進するためにRuのフェルミ準位に貢献することができる。
[00191] ドーピングは、例えば種々のPVD技法を含む、様々なやり方で実施することができ、従って、既存の製造フローに効率的に統合することができる。(別々のターゲットからの)SrとRuの同時スパッタリングは、RuにSrをドープする場合に適切なものになるであろう。代替的に、RuターゲットにはSrをドープすることができるであろう。また、例えばSrの化学的前駆体としてのSr(CO)12とともにCVDを使用することもできる。
[00192] ドーピングの程度は、例えばX線光電子分光法(XPS)、2次イオン質量分析法(SIMS)、及びラザフォード後方散乱分光法(RBS)によって測定することができる。より低い濃度のドーパントでは、測定がより困難になり、皮膜内の所望のストイキオメトリをもたらすために、付着中の金属フラックスの良好な制御が知られている。
[00193] 代替実施形態では、ベース層60と、M1M2を含み、M1が1つ以上のアルカリ金属及び/又は1つ以上のアルカリ土類金属を含み、M2が遷移金属、任意選択で希土類金属であるキャッピング層70とを有する、EUVリソグラフィ用のメンブレン40が提供される。キャッピング層70はメンブレン40の外面を提供する。一実施形態では、キャッピング層70は、SrRuO、SrVO、CaVO、La0.67Sr0.33MnOのうちの1つ以上を含む。ベース層60及びキャッピング層70は、例えば図3の一般的構成を採用することができる。この構成では、2つのキャッピング層(第1のキャッピング層70及び第2のキャッピング層80)が設けられる。その他の実施形態では、キャッピング層70は、メンブレン40の一方の側のみに設けることができる(例えば、パターニングデバイスを保護するためのメンブレンの場合、キャッピング層70はパターニングデバイスに面する側のメンブレン40上に設けることができる)。ベース層60及び任意のその他の層の組成及び厚さは、上記の諸実施形態のいずれかに記載されている通りである場合もあれば、その他の組成及び厚さが使用される場合もある。
[00194] M1M2材料はキャッピング層として様々な利点を提供する。この材料は例外的に安定しており、不揮発性である。広範囲の格子定数が使用可能であり、ひずみが少ないか又は全くないキャッピング層の形成を容易にする。柔軟なバンド構造により材料を容易に調整することができる。ドーピング及び異なるひずみを適用することにより、それぞれのフェルミ準位電子密度をシフトすることが可能であり、従って、それぞれの導電率及び放射率の制御が可能になる。
[00195] M1M2材料は、その他の金属及び合金と比較して、比較的高いEUV透過を有する。その上、その組成及び結晶構造の柔軟性により、メンブレン内の他の層と一致するように光学的性質を調整することが可能になり、それにより反射率を低減する。
[00196] 高品質のM1M2材料層は、RFスパッタリング、分子線エピタキシー(MBE)、電子ビーム蒸着、及びパルスレーザアブレーション(PLD)などの多くの物理蒸着(PDV)技法を使用して付着させることができる。これらの技法のいくつかは非常に高度であるので、原子層まで皮膜厚を制御することができ、これはEUVリソグラフィで使用するためのメンブレン40には特に有利である。
[00197] M1M2材料層の物理的性質(例えば導電率)は、4プローブPPMS技法を使用して測定することができる。これらの層は一般に測定条件に関して非常に安定しているので、その性質を測定するために任意の面内及び面外測定技法を使用することができる。
[00198] 例えばMoSi、ZrSi、及びその他のシリサイド、並びに多層グラフェンを含む、様々な見込みのあるベース層材料は、自立メンブレンに形成される時に過度に高い(MoSi、ZrSi、及びその他のシリサイドの場合)又は過度に低い(多層グラフェンの場合)応力を有することが観測されている。過度に高い応力はメンブレンの早期故障を引き起こす。過度に低い応力はメンブレンの望ましくないしわを引き起こす。本発明者らは、ベース層が付着された時にベース層を支持する支持構造とベース層自体との熱膨張係数の不一致によって望ましくないレベルの応力が引き起こされると確信している。典型的なメンブレンは製造中に1つ以上の高温ステップにかけられる。例えば、密度を最適化し、使用中のメンブレンのアニーリング及び収縮を防止するために、700℃を超える温度でアニーリングを実行することができる。付着技法は高温を使用することができる。多層グラフェンのCVDは、例えば700℃を超える温度で実行する必要がある可能性がある。これらの高温では、ベース層は弛緩してその最低応力状態になり、もっと厚い支持構造によって拘束される。アセンブリがその後、冷却されると、ベース層及び支持構造は、熱膨張係数の不一致次第で異なる量だけ縮小する。支持構造の方がより高い熱膨張係数を有する場合、支持構造はベース層より大きく収縮し、しわの寄ったベース層をもたらすことになる。支持構造の方がより低い熱膨張係数を有する場合、支持構造はベース層より小さく収縮し、ベース層に高い応力をもたらすことになる。
[00199] 図34及び図35は、上述の熱膨張係数の不一致に関連する問題を低減するようなやり方でメンブレン40が製造される、一実施形態による方法の諸ステップを図示している。この方法は、図34に示されている配置を提供するために支持構造802上にベース層60を形成することを含む。次に、支持構造802は、図35に示されているようにベース層60を含む自立メンブレン40を形成するためにベース層60の下の選択された領域804内でエッチングされる(選択された領域804の境界線は破線によって図示されている)。メンブレン40は、支持構造802の残りの部分によって支持される非自立部分に接続された自立部分を含む(境界と呼ぶことができる)。多くの変形例が可能であるので、プロセスフローの詳細はここでは説明しないか又は図34及び図35には示さない。例えば、図17〜図25に関連して上述したプロセスフローを適切に適合させたバージョンを使用することができる。
[00200] 支持構造802とベース層60との熱膨張係数の差がシリコンとベース層60との熱膨張係数の差より小さい支持構造802を設けることにより、メンブレン40においてより好都合な応力が達成される。従って、熱膨張係数の不一致は、標準的なシリコンウェーハが支持構造802として使用される場合より小さい。一実施形態では、支持構造802はサファイアを含む。一実施形態では、支持構造802はケイ酸塩ガラス、例えばソーダ石灰ガラスを含む。一実施形態では、支持構造802はクォーツを含む。これらの材料は、そうでなければ問題のあるベース層材料との良好な一致を提供する熱膨張係数を提供する。一実施形態では、ベース層60はMoSi、ZrSi、B、及びBCのうちの1つ以上を含み、支持構造802はサファイアを含む。一実施形態では、ベース層60はsp炭素(例えば多層グラフェン)を含み、支持構造802はクォーツを含む。
[00201] 上記の諸実施形態のいずれでも、特にEUVにおいて比較的高い反射率を有する層(例えばB)が使用される場合、ベース層60の厚さは、ベース層60の両側の境界面から反射されたEUV間の弱め合う干渉を達成するように選択することができる。それにより全体的な反射率が低減される。一実施形態では、ベース層60の厚さは、9nm+/−2nm、好ましくは+/−1nm、16nm+/−2nm、好ましくは+/−1nm、22+/−2nm、好ましくは+/−1nm、及び29+/−2nm、好ましくは+/−1nmのうちの1つになるように選択される。これらの厚さのそれぞれは弱め合う干渉を達成することが判明している。これらの厚さは、ベース層60がシリサイド、特にYSi又はZrSiを含む場合、特にBを含む更なる層(例えば、キャッピング層第2サブレイヤ432)が使用される場合、特に効果的であることが判明している。より大きい厚さで弱め合う干渉を引き起こすことも可能であるが、厚さが増すと望ましくないことにEUV透過率が低減することになる。
[00202] 一実施形態では、メンブレン40はペリクルとして又は動的ガスロックの一部として適用される。代替的に、メンブレン40は、識別などの他の濾過エリアにおいて又はビームスプリッタのために適用することができる。一実施形態では、動的ガスロックはリソグラフィ装置100内のデブリをブロックするように構成される。一実施形態では、動的ガスロックは投影システムPSと基板Wとの間に位置決めされる。動的ガスロックは、基板Wから又は基板W付近からの粒子が投影システムPS内又はその周りの光学コンポーネントに達する可能性を低減する。同様に、動的ガスロックは照明システムILを保護することができる。代替実施形態では、動的ガスロックは仮想光源点IFに位置決めされる。例えば、動的ガスロックはソースコレクタモジュールSOと照明システムILとの間に位置決めすることができる。
[00203] 少なくとも動的ガスロックが投影システムPSと基板Wとの間に位置決めされる場合、メンブレン40の寿命は露光された基板Wからガス放出された種/分子からの汚染のために短縮される可能性があることが判明している。この問題は、EUV適用例について現在提案されているように、レジストが金属ベースの無機レジストなどの金属成分を含有する場合に特に深刻である。このようなレジストからガス放出された種は比較的小さく重い(例えば、スタンナン)可能性があり、従って、ガスフローを単独で使用して抑制するのが困難である可能性がある。一実施形態では、メンブレン40の寿命は、金属性汚染物質に関する比較的低い親和性及び/又は高い自己浄化効率を有するキャッピング層を、少なくとも基板Wに面する側のメンブレン40上に設けることによって延長される。キャッピング層は、例えばZrO、あるいはTi、Hf、Y、Nb、Sc、Ta、V、及びLaのうちの1つ以上のものの酸化物を含むことができる。一実施形態では、例えばHを含むパージガスフローも提供される。キャッピング層とパージガスフローとの組み合わせにより、EUV適用例において金属を含有するレジストの場合でも投影システムPSと基板Wとの間の動的ガスロックが6ヶ月より長い寿命を有することができると予想される。
[00204] 一実施形態では、パージガスフローはキャッピング層の自己浄化を強化するように制御することができる。これは、例えば、メンブレン40の基板側における全体的な流量及び/又は圧力を増すことによって行うことができる。メンブレン40の表面に向かうフローパターンを改善するために上向きに角度を付けたスリットを設けることができる。このフローは、メンブレン40の表面におけるフローパターンのデッドゾーンを最小限にするか又は回避するように制御することができる。複数のガスインレットは、動的ガスロックボリューム内の望ましくない圧力傾度を回避するために及び/又はメンブレン40における非対称性を考慮するフローパターンを提供するために、柔軟性を提供することができる。
[00205] 一実施形態では、フロー内で追加の水素ラジカル及び/又はイオンを生成することによってパージガスフローを改善することができる。これは、例えば高温金属フィラメント(例えばタングステン)又はマイクロ波プラズマを使用して達成することができる。水素ラジカル及び/又はイオンは、有機及び金属両方の汚染の浄化速度を高めることになる。
[00206] 一実施形態では、基板Wからの汚染粒子が遭遇することになる動的ガスロックのメンブレン40付近に位置決めされた表面(例えば、漏斗構造の内面)は、ゲッタリングを増加するように処理することができる。このような表面は例えばRuナノ層でコーティングすることができ、これはガス放出された金属種(例えばSn)をゲッタリングすることになる。このようにして表面を処理すると、メンブレン40自体に達する材料の量を低減し、それによりメンブレンの寿命を改善する。
[00207] 一実施形態では、メンブレン40は、製造、輸送、又は使用中に粒子によって汚染状態になる可能性がある。特に、メンブレン40及びフレームを含むペリクルアセンブリの生産中に、メンブレンが汚染状態になることが考えられる。しかしながら、EUVリソグラフィの場合、このようなメンブレンは粒子なしであることが特に望ましく、そうでなければ、皮膜は損傷していると見なされ、歩留まりの低減及び高いコストをもたらす。
[00208] 従って、メンブレン40の表面から粒子汚染を除去するためにクリーニングツールが必要になる可能性がある。本明細書では、メンブレンを壊す危険性なしにこのような粒子汚染を除去するためにメンブレン40の振動及び/又は追加のガス圧力差を利用するクリーニングツールを使用することが提案されている。メンブレン40上に加えられた粒子の除去は、着脱可能な又は永続的に取り付けられたフレームを介してそれに取り付けられたメンブレン40を有するパターニングデバイスを使用するEUVリソグラフィ装置の結像性能を改善することになる。メンブレン40は、特別に設計された輸送機器内で保護されている時に壊れずに大きい衝撃力を処理できることが判明している。加圧下及びパージフローの組み合わせを選択することにより、メンブレン40の重要表面から粒子を移動させることは可能であった。
[00209] 図33は、メンブレン40用のクリーニング装置の一例を示している。真空チャンバ500には、10分の1ナノメートルから数百ミクロン又はミリメートルサイズまでの直径の粒子をその表面から緩めるためにメンブレン40を振動させるための直線振動ステージ501が設けられる。直線振動ステージ501は、メンブレン40を支持し、それに結合するためのインターフェイスプレート502を取り付けるために1つ以上の結合手段を含むことができる。インターフェイスプレートには、メンブレンフレームをインターフェイスプレートに容易に取り付け、そこから取り外せるように、スタッドなどの取り付け手段を設けることができる。真空チャンバ500の一方の側には、それを通って層流ガスフローが提供される入口開口部503が設けられる。排出開口部504は、真空チャンバ500のもう一方の側に、好ましくは層流ガスフローの入口開口部503とは反対側に設けることができる。排出開口部503により層流ガスは好ましくはメンブレン表面と平行に流れ、解放された粒子が層流によって誘導され、真空チャンバ500から排出できるようになっている。粒子を排出すること及び真空チャンバを通って層流を形成することをさらに支援するために排出開口部に結合された真空源又は真空クリーナを設けることは可能である(図示せず)。真空チャンバ500は輸送機器800の一部にすることができる。好ましくは、直線振動ステージ501、インターフェイスプレート502、及びメンブレン40は重力に沿った垂直方向に向けられる。
[00210] クリーニング装置は、インスペクションカメラ600と、拡散LEDストリップ又はラインレーザなどの線光源700を使用することにより粒子を検出するためのインライン測定方法も含むことができる。インスペクションカメラ600は、メンブレン40の表面から粒子によって散乱された線光源700からの光を捕捉するのに可能な位置に設けることができる。例えば、インスペクションカメラ600は、メンブレン表面40からの粒子を検出するために、散乱光を捕捉するために最適な位置及び向きでメンブレン表面とは反対側に設けることができる。線光源700は、メンブレン表面上にあるか又は直線振動ステージ501によってインターフェイスプレート502を振動させることにより層流内に誘導された粒子を照らすために、例えば入口開口部503の脇に設けることができる。
[00211] 代替的に、加速度によって粒子を除去できるように、ダウンフローキャビネット内に保持しながら、垂直に取り付けられたメンブレン40の正面に音響スピーカを配置することが可能である(図示せず)。音波で皮膜を励振することにより、汚染粒子を引き離すための高い加速度に達することができる。このようにして、メンブレン40は、線形加速度において発生する可能性があり、潜在的にメンブレンを損傷する可能性がある高い空気流速度を経験しない。テストにより、最も効果的な粒子解放を達成するために真空条件が望ましいことが示されている。これは少なくとも部分的に水の欠如によると考えられている。一実施形態では、上記の音響クリーニングプロセスは、1)密閉容積を設け、その密閉容積を真空レベルまでポンピングすること、2)密閉容積をガス(例えば乾燥空気)で排出すること、及び3)(ガスによる排出直後に)音響クリーニングプロセスを実施すること、という順序を使用して、真空条件で実施される。
[00212] 本明細書では、ICの製造におけるリソグラフィ装置の使用について特に言及しているが、本明細書で説明するリソグラフィ装置には他の用途もあることを理解されたい。例えば、これは、集積光学システム、磁気ドメインメモリ用のガイダンス及び検出パターン、フラットパネルディスプレイ、LCD、薄膜磁気ヘッドなどの製造である。本明細書に述べている基板は、露光前又は露光後に、例えばトラック(通常はレジストの層を基板に塗布し、露光したレジストを現像するツール)、メトロロジーツール及び/又はインスペクションツールで処理することができる。適宜、本明細書の開示は、以上及びその他の基板プロセスツールに適用することができる。さらに基板は、例えば多層ICを生成するために、複数回処理することができ、従って本明細書で使用する基板という用語は、既に複数の処理済み層を含む基板も指すことができる。
[00213] 以上、本発明の特定の実施形態について説明したが、本発明は、説明したものとは別の方法で実施することができることが理解されよう。例えば、様々なフォトレジスト層を、同じ機能を実行する非フォトレジスト層と置き換えることができる。
[00214] 上記の説明は、例示的なものであり、限定するものではない。従って、以下に示す特許請求の範囲から逸脱することなく、記載された本発明に対して変更を加えることができることは、当業者には明らかであろう。
[00214] 上記の説明は、例示的なものであり、限定するものではない。従って、以下に示す特許請求の範囲及び条項から逸脱することなく、記載された本発明に対して変更を加えることができることは、当業者には明らかであろう。
[条項1]
EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
第1の金属の酸化物を含む第1のキャッピング層と、
第2の金属とSi、B、C、及びNからなるグループから選択された追加の元素とを含む化合物を含むベース層と、
第3の金属の酸化物を含む第2のキャッピング層であって、前記第1の金属が前記第2の金属とは異なり、前記第3の金属が前記第1の金属と同じであるか又はそれとは異なる第2のキャッピング層と、
という順序で複数層を有するスタックを含む、メンブレン。
[条項2]
前記ベース層が前記第1のキャッピング層及び前記第2のキャッピング層のいずれか一方又は両方のそれぞれより少なくとも5倍厚い、条項1に記載のメンブレン。
[条項3]
前記第1の金属及び前記第3の金属のいずれか一方又は両方が、Nb、Zr、Ce、Ti、La、Y、及びAlからなるグループから選択される、条項1から2のいずれかに記載のメンブレン。
[条項4]
前記第1の金属及び前記第3の金属のいずれか一方又は両方が、Zr及びYからなるグループから選択される、条項3に記載のメンブレン。
[条項5]
前記ベース層の前記化合物において、
前記第2の金属がMoであり、前記追加の元素がSiであるか、
前記第2の金属がRuであり、前記追加の元素がSiであるか、
前記第2の金属がZrであり、前記追加の元素がSiであるか、
前記第2の金属がLaであり、前記追加の元素がSiであるか、
前記第2の金属がScであり、前記追加の元素がSiであるか、
前記第2の金属がYであり、前記追加の元素がSiであるか、
前記第2の金属がNbであり、前記追加の元素がSiであるか、
前記第2の金属がMoであり、前記追加の元素がBであるか、
前記第2の金属がRuであり、前記追加の元素がBであるか、
前記第2の金属がZrであり、前記追加の元素がBであるか、
前記第2の金属がNbであり、前記追加の元素がBであるか、
前記第2の金属がTiであり、前記追加の元素がBであるか、
前記第2の金属がLaであり、前記追加の元素がBであるか、又は
前記第2の金属がZrであり、前記追加の元素がCである、条項1から4のいずれかに記載のメンブレン。
[条項6]
前記ベース層の前記化合物において、
前記第2の金属がMoであり、前記追加の元素がSiであるか、又は
前記第2の金属がRuであり、前記追加の元素がSiである、条項5に記載のメンブレン。
[条項7]
前記ベース層の前記化合物において、
前記第2の金属がMoであり、前記追加の元素がBであるか、又は
前記第2の金属がRuであり、前記追加の元素がBである、条項5に記載のメンブレン。
[条項8]
前記ベース層において、前記第2の金属と前記追加の元素とを含む前記化合物が、前記第2の金属及び前記追加の元素からなる、条項1から7のいずれかに記載のメンブレン。
[条項9]
前記第1の金属がZrであり、
前記第2の金属がMoであり、前記追加の元素がSiであり、
前記第3の金属がZrである、条項1から8のいずれかに記載のメンブレン。
[条項10]
前記第1の金属の前記酸化物が、前記第1の金属と1つ以上の更なる金属とを含む混合金属酸化物であるか、
前記第3の金属の前記酸化物が、前記第2の金属と1つ以上の更なる金属とを含む混合金属酸化物であるか、又は
前記第1の金属の前記酸化物が、前記第1の金属と1つ以上の更なる金属とを含む混合金属酸化物であり、前記第3の金属の前記酸化物が、前記第3の金属と1つ以上の更なる金属とを含む混合金属酸化物である、条項1から9のいずれかに記載のメンブレン。
[条項11]
前記ベース層が複数のベース層サブレイヤを含み、前記ベース層サブレイヤのうちの少なくとも1つが前記第2の金属と前記追加の元素とを含む前記化合物を含む、条項1から10のいずれかに記載のメンブレン。
[条項12]
前記ベース層がベース層第1サブレイヤとベース層第2サブレイヤとベース層第3サブレイヤとを含み、
前記ベース層第2サブレイヤが前記ベース層第1サブレイヤと前記ベース層第3サブレイヤとの間に配置され、前記第2の金属と前記追加の元素とを含む前記化合物を含み、
前記ベース層第1サブレイヤが前記追加の元素の酸化物を含み、
前記ベース層第3サブレイヤが前記追加の元素の酸化物を含む、条項11に記載のメンブレン。
[条項13]
前記ベース層第1サブレイヤの少なくとも一部分が前記第1のキャッピング層内の前記第1の金属の前記酸化物と接触している、条項12に記載のメンブレン。
[条項14]
前記ベース層第3サブレイヤの少なくとも一部分が前記第2のキャッピング層内の前記第3の金属の前記酸化物と接触している、条項12又は13に記載のメンブレン。
[条項15]
前記ベース層において前記第2の金属と前記追加の元素とを含む前記化合物の少なくとも一部分が、前記第1のキャッピング層内の前記第1の金属の前記酸化物及び前記第2のキャッピング層内の前記第3の金属の前記酸化物のいずれか一方又は両方と接触している、条項1から10のいずれかに記載のメンブレン。
[条項16]
前記第1のキャッピング層が第1のキャッピング層第1サブレイヤと第1のキャッピング層第2サブレイヤとを含み、前記第1のキャッピング層第1サブレイヤが前記第1の金属の前記酸化物を含み、前記第1のキャッピング層第2サブレイヤが第1のキャッピング層溶着酸化物を含み、前記第1のキャッピング層第2サブレイヤが前記第1のキャッピング層第1サブレイヤと前記ベース層との間に位置決めされる、条項1から12のいずれかに記載のメンブレン。
[条項17]
前記第1のキャッピング層溶着酸化物がシリコンの酸化物を含む、条項16に記載のメンブレン。
[条項18]
前記第2のキャッピング層が第2のキャッピング層第1サブレイヤと第2のキャッピング層第2サブレイヤとを含み、前記第2のキャッピング層第1サブレイヤが前記第3の金属の前記酸化物を含み、前記第2のキャッピング層第2サブレイヤが第2のキャッピング層溶着酸化物を含み、前記第2のキャッピング層第2サブレイヤが前記第2のキャッピング層第1サブレイヤと前記ベース層との間に位置決めされる、条項1から12、16、及び17のいずれかに記載のメンブレン。
[条項19]
前記第2のキャッピング層溶着酸化物がシリコンの酸化物を含む、条項18に記載のメンブレン。
[条項20]
前記第1のキャッピング層及び前記第2のキャッピング層のそれぞれが5nmより小さい厚さを有する、条項1から19のいずれかに記載のメンブレン。
[条項21]
前記ベース層が8nmと等しいか又はそれより大きい厚さを有する、条項1から20のいずれかに記載のメンブレン。
[条項22]
前記ベース層の前記厚さが、前記第1のキャッピング層及び前記第2のキャッピング層からのEUV反射間の弱め合う干渉を達成するように選択される、条項21に記載のメンブレン。
[条項23]
前記ベース層が、9+/−2nm又は16nm+/−2nmの厚さを有する、条項21又は22に記載のメンブレン。
[条項24]
前記第1のキャッピング層及び前記第2のキャッピング層のいずれか一方又は両方が前記メンブレンの外面の少なくとも一部を形成する、条項1から23のいずれかに記載のメンブレン。
[条項25]
前記第1の金属の前記酸化物及び前記第3の金属の前記酸化物が酸素伝導酸化物である、条項1から24のいずれかに記載のメンブレン。
[条項26]
EUVリソグラフィ用のメンブレンであって、
前記メンブレンが、金属と追加の元素とを含む化合物を含むメンブレン層を含み、
前記メンブレンの両方の外面の少なくとも一部が前記化合物又は前記追加の元素の酸化物によって形成され、前記メンブレン層において、
前記金属がMoであり、前記追加の元素がSiであるか、
前記金属がRuであり、前記追加の元素がSiであるか、
前記金属がZrであり、前記追加の元素がSiであるか、
前記金属がLaであり、前記追加の元素がSiであるか、
前記金属がScであり、前記追加の元素がSiであるか、
前記金属がYであり、前記追加の元素がSiであるか、
前記金属がNbであり、前記追加の元素がSiであるか、
前記金属がMoであり、前記追加の元素がBであるか、
前記金属がRuであり、前記追加の元素がBであるか、
前記金属がZrであり、前記追加の元素がBであるか、
前記金属がNbであり、前記追加の元素がBであるか、
前記金属がTiであり、前記追加の元素がBであるか、
前記金属がLaであり、前記追加の元素がBであるか、又は
前記金属がZrであり、前記追加の元素がCである、メンブレン。
[条項27]
前記メンブレン層が8nmと等しいか又はそれより大きい厚さを有する、条項26に記載のメンブレン。
[条項28]
前記メンブレン層の前記厚さが、前記メンブレン層の両側の境界面からのEUV反射間の弱め合う干渉を達成するように選択される、条項27に記載のメンブレン。
[条項29]
前記メンブレン層が、9nm+/−2nm又は16nm+/−2nmの厚さを有する、条項27又は28に記載のメンブレン。
[条項30]
前記金属がMoであり、前記追加の元素がSiであるか、又は
前記金属がRuであり、前記追加の元素がSiである、条項26から29のいずれかに記載のメンブレン。
[条項31]
前記金属がMoであり、前記追加の元素がBであるか、又は
前記金属がRuであり、前記追加の元素がBである、条項26から29のいずれかに記載のメンブレン。
[条項32]
波長λを有するEUV放射を使用するEUVリソグラフィ用のメンブレンであって、前記メンブレンが、
第1の保護キャッピング層と、
λ/2の厚さを有する第1の放射率層と、
λ/4の厚さを有する第1のバリア層と、
ベース層と、
という順序で複数層のスタックを含み、前記第1の保護キャッピング層が前記第1の放射率層の屈折率及び前記第1のバリア層の屈折率と整合させた屈折率を有し、前記複数層の前記厚さが、前記メンブレンの両側の境界面からのEUV反射間の弱め合う干渉を達成するように選択される、メンブレン。
[条項33]
第2の保護キャッピング層と、
λ/2の厚さを有する第2の放射率層と、
λ/4の厚さを有する第2のバリア層と、
をさらに含み、前記第2の保護キャッピング層が前記第2の放射率層の屈折率及び前記第2のバリア層の屈折率と整合させた屈折率を有する、条項32に記載のメンブレン。
[条項34]
EUVリソグラフィ用のメンブレンを製造する方法であって、
ベース層を設けることと、
放射率層を設けることであって、前記放射率層が前記ベース層より赤外線中でより高い放射率を有することと、
を含み、
前記放射率層が不規則支持表面上に設けられ、
前記放射率層が、前記不規則支持表面の不規則性が前記不規則支持表面とは反対側の前記放射率層の表面において対応する不規則性を発生するような厚さを有する、方法。
[条項35]
前記不規則支持表面が多結晶材料の異方性エッチングによって形成される、条項34に記載の方法。
[条項36]
前記不規則支持表面におけるクリスタリット面の、前記メンブレンの法線に対する平均角度が85%未満である、条項34又は35に記載の方法。
[条項37]
前記ベース層がポリシリコンを含む、条項34から36のいずれかに記載の方法。
[条項38]
前記放射率層が、Ru、Mo、Zr、及びNbのうちの1つ以上を含む、条項34から37のいずれかに記載の方法。
[条項39]
前記放射率層の前記表面の不規則性が、ピーク対トラフの高さの差の平均が少なくとも2nmになるようなものである、条項34から38のいずれかに記載の方法。
[条項40]
EUVリソグラフィ用のメンブレンを製造する方法であって、
マスクを使用して、エッチングすべき支持構造内の領域を規定することと、
前記規定された領域にエッチングすることと、
を含み、
前記マスクが複数の直線セクションを含むマスク境界線によって規定された形状を有し、
各対の直接隣接する直線セクション間の内角が90度より大きい、方法。
[条項41]
条項34から40のいずれかに記載された方法を使用して製造されたメンブレン。
[条項42]
自立部分を含むEUVリソグラフィ用のメンブレンであって、
前記自立部分の形状が複数の実質的直線セクションを含む自立部分境界線によって規定され、
各対の直接隣接する直線セクション間の内角が90度より大きい、メンブレン。
[条項43]
EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
ベース層と、
キャッピング層であって、Moと少なくとも1つの他の金属との合金を含むキャッピング層と、
を含む、メンブレン。
[条項44]
前記少なくとも1つの他の金属が、Ta、Ti、Cr、Ni、及びNbのうちの1つ以上を含む、条項43に記載のメンブレン。
[条項45]
EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
ベース層と、
キャッピング層であって、ホウケイ酸ガラスを含むキャッピング層と、
を含む、メンブレン。
[条項46]
前記ホウケイ酸ガラスが、前記ベース層の自然酸化物の代わりに形成されるか、前記ベース層の自然酸化物を前記ホウケイ酸ガラスに転換することによって形成されるか、あるいは前記ベース層の自然酸化物を覆うように形成される、条項45に記載のメンブレン。
[条項47]
EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
YSi、ZrSi、LaSi、及びNbSiのうちの1つ以上を含むベース層
を含む、メンブレン。
[条項48]
キャッピング層第1サブレイヤとキャッピング層第2サブレイヤとを含むキャッピング層をさらに含み、
前記キャッピング層第1サブレイヤが前記ベース層と接触し、前記キャッピング層第2サブレイヤが前記ベース層とは反対側の前記キャッピング層第1サブレイヤの一方の側に位置決めされ、
前記キャッピング層第1サブレイヤがMoSi又はSiを含む、条項47に記載のメンブレン。
[条項49]
前記キャッピング層第2サブレイヤがBを含む、条項48に記載のメンブレン。
[条項50]
前記ベース層の前記厚さが、前記ベース層の両側の境界面からのEUV反射間の弱め合う干渉を達成するように選択される、条項42から49のいずれかに記載のメンブレン。
[条項51]
前記ベース層の前記厚さが、9nm+/−2nm、16nm+/−2nm、22+/−2nm、及び29+/−2nmのうちの1つである、条項42から50のいずれかに記載のメンブレン。
[条項52]
EUVリソグラフィ用のメンブレンを製造する方法であって、
ベース層又はベース層を含むスタックを設けることと、
前記ベース層又は前記スタック上に非晶質キャッピング層を形成することと、
を含む、方法。
[条項53]
前記非晶質キャッピング層を形成することが、少なくとも2種類の異なる金属を同時に付着させて合金を形成することを含む、条項52に記載の方法。
[条項54]
前記非晶質キャッピング層を形成することが、第1のガス及び第2のガスの存在下で前記非晶質キャッピング層を付着させることを含み、前記第2のガスの存在が、前記第1のガスのみの存在下で実行された同じ付着プロセスと比較して前記非晶質キャッピング層の結晶化を抑止する、条項52又は53に記載の方法。
[条項55]
前記非晶質キャッピング層を形成することが、前記ベース層又はスタックが室温未満の温度に保持されている間に実行される、条項52から54のいずれかに記載の方法。
[条項56]
EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
ベース層と、
前記メンブレンの外面を提供する非晶質キャッピング層と、
を含む、メンブレン。
[条項57]
前記非晶質キャッピング層が金属性である、条項56に記載のメンブレン。
[条項58]
前記非晶質キャッピング層が合金を含む、条項56又は57に記載のメンブレン。
[条項59]
前記合金が、Ru、Mo、B、C、Cr、Ir、Nb、Pd、Pt、Re、Rh、Ti、V、Yのうちの1つ以上、好ましくは、1)Ruと、Rh、Pd、Pt、Y、B、Ti、C、及びPのうちの1つ以上、2)Moと、B、Ta、Nb、Cr、及びRuのうちの1つ以上のうちの一方又は両方を含む、条項58に記載のメンブレン。
[条項60]
前記合金が、BがドープされたRu、PがドープされたRu、又はMo(1−x−y)Ruを含む、条項59に記載のメンブレン。
[条項61]
EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
ベース層と、
前記メンブレンの外面を提供するキャッピング層であって、少なくとも20%の割合のsp炭素を有する炭素を含むキャッピング層と、
を含む、メンブレン。
[条項62]
前記ベース層が、前記キャッピング層の炭素中のsp炭素の割合より低いsp炭素の割合を有する炭素を含む、条項61に記載のメンブレン。
[条項63]
EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
ベース層と、
前記メンブレンの外面を提供するキャッピング層であって、アルカリ金属又はアルカリ土類金属がドープされた遷移金属を含むキャッピング層と、
を含む、メンブレン。
[条項64]
EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
ベース層と、
前記メンブレンの外面を提供するキャッピング層であって、M1M2を含み、M1が1つ以上のアルカリ金属及び/又は1つ以上のアルカリ土類金属を含み、M2が遷移金属、任意選択で希土類金属を含むキャッピング層と、
を含む、メンブレン。
[条項65]
前記キャッピング層が、SrRuO、SrVO、CaVO、La0.67Sr0.33MnOのうちの1つ以上を含む、条項64に記載のメンブレン。
[条項66]
EUVリソグラフィ用のメンブレンを製造する方法であって、
支持構造上に少なくとも1つのベース層を形成することと、
前記ベース層を含む自立メンブレンを形成するために前記ベース層の下の選択された領域内の前記支持構造にエッチングすることと、
を含み、
前記支持構造と前記ベース層との熱膨張係数の差がシリコンと前記ベース層との熱膨張係数の差より小さい、方法。
[条項67]
前記ベース層がMoSi、ZrSi、B、及びBCのうちの1つ以上を含み、前記支持構造がサファイアを含むか、又は
前記ベース層がsp炭素を含み、前記支持構造がクォーツを含む、条項66に記載の方法。
[条項68]
自立部分を含むEUVリソグラフィ用のメンブレンであって、
前記自立部分がベース層を含み、支持構造によって支持された非自立部分に接続され、前記支持構造と前記ベース層との熱膨張係数の差がシリコンと前記ベース層との熱膨張係数の差より小さい、メンブレン。
[条項69]
条項52から55及び66から67のいずれかに記載の方法を使用して製造されたメンブレン。
[条項70]
条項1から33、41から51、56から65、及び68から69のいずれかに記載のメンブレンを含む、EUVリソグラフィ用のパターニングデバイスアセンブリ。
[条項71]
条項1から33、41から51、56から65、及び68から69に記載のメンブレンを含む、EUVリソグラフィ用の動的ガスロックアセンブリ。
[条項72]
EUVリソグラフィ用のメンブレンを浄化するためのクリーニングツールであって、
層流の方向が前記メンブレンの外面と平行になるようにチャンバを通って層流ガスフローを提供するための入口開口部と排出開口部とを含むチャンバと、
前記チャンバ内で前記メンブレンを保持するように配置されたインターフェイスプレートと、
前記インターフェイスプレートに結合され、汚染粒子が前記メンブレンの前記外面から解放されるように前記メンブレンを振動させるように配置された振動ステージと、
を含む、クリーニングツール。
[条項73]
EUVリソグラフィ用のメンブレンを浄化するためのクリーニングツールであって、
層流の方向が前記メンブレンの外面と平行になるようにチャンバを通って層流ガスフローを提供するための入口開口部と排出開口部とを含むチャンバと、
前記チャンバ内で前記メンブレンを保持するように配置されたインターフェイスプレートと、
前記メンブレンの前に配置され、汚染粒子が前記メンブレンの前記外面から解放されるように音波で前記メンブレンを振動させるように配置された音響スピーカと、
を含む、クリーニングツール。
[条項74]
インスペクションカメラと光源とをさらに含む、条項72又は73に記載のクリーニングツール。
[条項75]
前記メンブレンが垂直位置にあり、前記層流が前記クリーニングツールから前記汚染粒子を除去するように前記チャンバの前記入口開口部及び前記排出開口部が配置される、条項72から74に記載のクリーニングツール。

Claims (75)

  1. EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
    第1の金属の酸化物を含む第1のキャッピング層と、
    第2の金属とSi、B、C、及びNからなるグループから選択された追加の元素とを含む化合物を含むベース層と、
    第3の金属の酸化物を含む第2のキャッピング層であって、前記第1の金属が前記第2の金属とは異なり、前記第3の金属が前記第1の金属と同じであるか又はそれとは異なる第2のキャッピング層と、
    という順序で複数層を有するスタックを含む、メンブレン。
  2. 前記ベース層が前記第1のキャッピング層及び前記第2のキャッピング層のいずれか一方又は両方のそれぞれより少なくとも5倍厚い、請求項1に記載のメンブレン。
  3. 前記第1の金属及び前記第3の金属のいずれか一方又は両方が、Nb、Zr、Ce、Ti、La、Y、及びAlからなるグループから選択される、請求項1から2のいずれかに記載のメンブレン。
  4. 前記第1の金属及び前記第3の金属のいずれか一方又は両方が、Zr及びYからなるグループから選択される、請求項3に記載のメンブレン。
  5. 前記ベース層の前記化合物において、
    前記第2の金属がMoであり、前記追加の元素がSiであるか、
    前記第2の金属がRuであり、前記追加の元素がSiであるか、
    前記第2の金属がZrであり、前記追加の元素がSiであるか、
    前記第2の金属がLaであり、前記追加の元素がSiであるか、
    前記第2の金属がScであり、前記追加の元素がSiであるか、
    前記第2の金属がYであり、前記追加の元素がSiであるか、
    前記第2の金属がNbであり、前記追加の元素がSiであるか、
    前記第2の金属がMoであり、前記追加の元素がBであるか、
    前記第2の金属がRuであり、前記追加の元素がBであるか、
    前記第2の金属がZrであり、前記追加の元素がBであるか、
    前記第2の金属がNbであり、前記追加の元素がBであるか、
    前記第2の金属がTiであり、前記追加の元素がBであるか、
    前記第2の金属がLaであり、前記追加の元素がBであるか、又は
    前記第2の金属がZrであり、前記追加の元素がCである、請求項1から4のいずれかに記載のメンブレン。
  6. 前記ベース層の前記化合物において、
    前記第2の金属がMoであり、前記追加の元素がSiであるか、又は
    前記第2の金属がRuであり、前記追加の元素がSiである、請求項5に記載のメンブレン。
  7. 前記ベース層の前記化合物において、
    前記第2の金属がMoであり、前記追加の元素がBであるか、又は
    前記第2の金属がRuであり、前記追加の元素がBである、請求項5に記載のメンブレン。
  8. 前記ベース層において、前記第2の金属と前記追加の元素とを含む前記化合物が、前記第2の金属及び前記追加の元素からなる、請求項1から7のいずれかに記載のメンブレン。
  9. 前記第1の金属がZrであり、
    前記第2の金属がMoであり、前記追加の元素がSiであり、
    前記第3の金属がZrである、請求項1から8のいずれかに記載のメンブレン。
  10. 前記第1の金属の前記酸化物が、前記第1の金属と1つ以上の更なる金属とを含む混合金属酸化物であるか、
    前記第3の金属の前記酸化物が、前記第2の金属と1つ以上の更なる金属とを含む混合金属酸化物であるか、又は
    前記第1の金属の前記酸化物が、前記第1の金属と1つ以上の更なる金属とを含む混合金属酸化物であり、前記第3の金属の前記酸化物が、前記第3の金属と1つ以上の更なる金属とを含む混合金属酸化物である、請求項1から9のいずれかに記載のメンブレン。
  11. 前記ベース層が複数のベース層サブレイヤを含み、前記ベース層サブレイヤのうちの少なくとも1つが前記第2の金属と前記追加の元素とを含む前記化合物を含む、請求項1から10のいずれかに記載のメンブレン。
  12. 前記ベース層がベース層第1サブレイヤとベース層第2サブレイヤとベース層第3サブレイヤとを含み、
    前記ベース層第2サブレイヤが前記ベース層第1サブレイヤと前記ベース層第3サブレイヤとの間に配置され、前記第2の金属と前記追加の元素とを含む前記化合物を含み、
    前記ベース層第1サブレイヤが前記追加の元素の酸化物を含み、
    前記ベース層第3サブレイヤが前記追加の元素の酸化物を含む、請求項11に記載のメンブレン。
  13. 前記ベース層第1サブレイヤの少なくとも一部分が前記第1のキャッピング層内の前記第1の金属の前記酸化物と接触している、請求項12に記載のメンブレン。
  14. 前記ベース層第3サブレイヤの少なくとも一部分が前記第2のキャッピング層内の前記第3の金属の前記酸化物と接触している、請求項12又は13に記載のメンブレン。
  15. 前記ベース層において前記第2の金属と前記追加の元素とを含む前記化合物の少なくとも一部分が、前記第1のキャッピング層内の前記第1の金属の前記酸化物及び前記第2のキャッピング層内の前記第3の金属の前記酸化物のいずれか一方又は両方と接触している、請求項1から10のいずれかに記載のメンブレン。
  16. 前記第1のキャッピング層が第1のキャッピング層第1サブレイヤと第1のキャッピング層第2サブレイヤとを含み、前記第1のキャッピング層第1サブレイヤが前記第1の金属の前記酸化物を含み、前記第1のキャッピング層第2サブレイヤが第1のキャッピング層溶着酸化物を含み、前記第1のキャッピング層第2サブレイヤが前記第1のキャッピング層第1サブレイヤと前記ベース層との間に位置決めされる、請求項1から12のいずれかに記載のメンブレン。
  17. 前記第1のキャッピング層溶着酸化物がシリコンの酸化物を含む、請求項16に記載のメンブレン。
  18. 前記第2のキャッピング層が第2のキャッピング層第1サブレイヤと第2のキャッピング層第2サブレイヤとを含み、前記第2のキャッピング層第1サブレイヤが前記第3の金属の前記酸化物を含み、前記第2のキャッピング層第2サブレイヤが第2のキャッピング層溶着酸化物を含み、前記第2のキャッピング層第2サブレイヤが前記第2のキャッピング層第1サブレイヤと前記ベース層との間に位置決めされる、請求項1から12、16、及び17のいずれかに記載のメンブレン。
  19. 前記第2のキャッピング層溶着酸化物がシリコンの酸化物を含む、請求項18に記載のメンブレン。
  20. 前記第1のキャッピング層及び前記第2のキャッピング層のそれぞれが5nmより小さい厚さを有する、請求項1から19のいずれかに記載のメンブレン。
  21. 前記ベース層が8nmと等しいか又はそれより大きい厚さを有する、請求項1から20のいずれかに記載のメンブレン。
  22. 前記ベース層の前記厚さが、前記第1のキャッピング層及び前記第2のキャッピング層からのEUV反射間の弱め合う干渉を達成するように選択される、請求項21に記載のメンブレン。
  23. 前記ベース層が、9+/−2nm又は16nm+/−2nmの厚さを有する、請求項21又は22に記載のメンブレン。
  24. 前記第1のキャッピング層及び前記第2のキャッピング層のいずれか一方又は両方が前記メンブレンの外面の少なくとも一部を形成する、請求項1から23のいずれかに記載のメンブレン。
  25. 前記第1の金属の前記酸化物及び前記第3の金属の前記酸化物が酸素伝導酸化物である、請求項1から24のいずれかに記載のメンブレン。
  26. EUVリソグラフィ用のメンブレンであって、
    前記メンブレンが、金属と追加の元素とを含む化合物を含むメンブレン層を含み、
    前記メンブレンの両方の外面の少なくとも一部が前記化合物又は前記追加の元素の酸化物によって形成され、前記メンブレン層において、
    前記金属がMoであり、前記追加の元素がSiであるか、
    前記金属がRuであり、前記追加の元素がSiであるか、
    前記金属がZrであり、前記追加の元素がSiであるか、
    前記金属がLaであり、前記追加の元素がSiであるか、
    前記金属がScであり、前記追加の元素がSiであるか、
    前記金属がYであり、前記追加の元素がSiであるか、
    前記金属がNbであり、前記追加の元素がSiであるか、
    前記金属がMoであり、前記追加の元素がBであるか、
    前記金属がRuであり、前記追加の元素がBであるか、
    前記金属がZrであり、前記追加の元素がBであるか、
    前記金属がNbであり、前記追加の元素がBであるか、
    前記金属がTiであり、前記追加の元素がBであるか、
    前記金属がLaであり、前記追加の元素がBであるか、又は
    前記金属がZrであり、前記追加の元素がCである、メンブレン。
  27. 前記メンブレン層が8nmと等しいか又はそれより大きい厚さを有する、請求項26に記載のメンブレン。
  28. 前記メンブレン層の前記厚さが、前記メンブレン層の両側の境界面からのEUV反射間の弱め合う干渉を達成するように選択される、請求項27に記載のメンブレン。
  29. 前記メンブレン層が、9nm+/−2nm又は16nm+/−2nmの厚さを有する、請求項27又は28に記載のメンブレン。
  30. 前記金属がMoであり、前記追加の元素がSiであるか、又は
    前記金属がRuであり、前記追加の元素がSiである、請求項26から29のいずれかに記載のメンブレン。
  31. 前記金属がMoであり、前記追加の元素がBであるか、又は
    前記金属がRuであり、前記追加の元素がBである、請求項26から29のいずれかに記載のメンブレン。
  32. 波長λを有するEUV放射を使用するEUVリソグラフィ用のメンブレンであって、前記メンブレンが、
    第1の保護キャッピング層と、
    λ/2の厚さを有する第1の放射率層と、
    λ/4の厚さを有する第1のバリア層と、
    ベース層と、
    という順序で複数層のスタックを含み、前記第1の保護キャッピング層が前記第1の放射率層の屈折率及び前記第1のバリア層の屈折率と整合させた屈折率を有し、前記複数層の前記厚さが、前記メンブレンの両側の境界面からのEUV反射間の弱め合う干渉を達成するように選択される、メンブレン。
  33. 第2の保護キャッピング層と、
    λ/2の厚さを有する第2の放射率層と、
    λ/4の厚さを有する第2のバリア層と、
    をさらに含み、前記第2の保護キャッピング層が前記第2の放射率層の屈折率及び前記第2のバリア層の屈折率と整合させた屈折率を有する、請求項32に記載のメンブレン。
  34. EUVリソグラフィ用のメンブレンを製造する方法であって、
    ベース層を設けることと、
    放射率層を設けることであって、前記放射率層が前記ベース層より赤外線中でより高い放射率を有することと、
    を含み、
    前記放射率層が不規則支持表面上に設けられ、
    前記放射率層が、前記不規則支持表面の不規則性が前記不規則支持表面とは反対側の前記放射率層の表面において対応する不規則性を発生するような厚さを有する、方法。
  35. 前記不規則支持表面が多結晶材料の異方性エッチングによって形成される、請求項34に記載の方法。
  36. 前記不規則支持表面におけるクリスタリット面の、前記メンブレンの法線に対する平均角度が85%未満である、請求項34又は35に記載の方法。
  37. 前記ベース層がポリシリコンを含む、請求項34から36のいずれかに記載の方法。
  38. 前記放射率層が、Ru、Mo、Zr、及びNbのうちの1つ以上を含む、請求項34から37のいずれかに記載の方法。
  39. 前記放射率層の前記表面の不規則性が、ピーク対トラフの高さの差の平均が少なくとも2nmになるようなものである、請求項34から38のいずれかに記載の方法。
  40. EUVリソグラフィ用のメンブレンを製造する方法であって、
    マスクを使用して、エッチングすべき支持構造内の領域を規定することと、
    前記規定された領域にエッチングすることと、
    を含み、
    前記マスクが複数の直線セクションを含むマスク境界線によって規定された形状を有し、
    各対の直接隣接する直線セクション間の内角が90度より大きい、方法。
  41. 請求項34から40のいずれかに記載された方法を使用して製造されたメンブレン。
  42. 自立部分を含むEUVリソグラフィ用のメンブレンであって、
    前記自立部分の形状が複数の実質的直線セクションを含む自立部分境界線によって規定され、
    各対の直接隣接する直線セクション間の内角が90度より大きい、メンブレン。
  43. EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
    ベース層と、
    キャッピング層であって、Moと少なくとも1つの他の金属との合金を含むキャッピング層と、
    を含む、メンブレン。
  44. 前記少なくとも1つの他の金属が、Ta、Ti、Cr、Ni、及びNbのうちの1つ以上を含む、請求項43に記載のメンブレン。
  45. EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
    ベース層と、
    キャッピング層であって、ホウケイ酸ガラスを含むキャッピング層と、
    を含む、メンブレン。
  46. 前記ホウケイ酸ガラスが、前記ベース層の自然酸化物の代わりに形成されるか、前記ベース層の自然酸化物を前記ホウケイ酸ガラスに転換することによって形成されるか、あるいは前記ベース層の自然酸化物を覆うように形成される、請求項45に記載のメンブレン。
  47. EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
    YSi、ZrSi、LaSi、及びNbSiのうちの1つ以上を含むベース層
    を含む、メンブレン。
  48. キャッピング層第1サブレイヤとキャッピング層第2サブレイヤとを含むキャッピング層をさらに含み、
    前記キャッピング層第1サブレイヤが前記ベース層と接触し、前記キャッピング層第2サブレイヤが前記ベース層とは反対側の前記キャッピング層第1サブレイヤの一方の側に位置決めされ、
    前記キャッピング層第1サブレイヤがMoSi又はSiを含む、請求項47に記載のメンブレン。
  49. 前記キャッピング層第2サブレイヤがBを含む、請求項48に記載のメンブレン。
  50. 前記ベース層の前記厚さが、前記ベース層の両側の境界面からのEUV反射間の弱め合う干渉を達成するように選択される、請求項42から49のいずれかに記載のメンブレン。
  51. 前記ベース層の前記厚さが、9nm+/−2nm、16nm+/−2nm、22+/−2nm、及び29+/−2nmのうちの1つである、請求項42から50のいずれかに記載のメンブレン。
  52. EUVリソグラフィ用のメンブレンを製造する方法であって、
    ベース層又はベース層を含むスタックを設けることと、
    前記ベース層又は前記スタック上に非晶質キャッピング層を形成することと、
    を含む、方法。
  53. 前記非晶質キャッピング層を形成することが、少なくとも2種類の異なる金属を同時に付着させて合金を形成することを含む、請求項52に記載の方法。
  54. 前記非晶質キャッピング層を形成することが、第1のガス及び第2のガスの存在下で前記非晶質キャッピング層を付着させることを含み、前記第2のガスの存在が、前記第1のガスのみの存在下で実行された同じ付着プロセスと比較して前記非晶質キャッピング層の結晶化を抑止する、請求項52又は53に記載の方法。
  55. 前記非晶質キャッピング層を形成することが、前記ベース層又はスタックが室温未満の温度に保持されている間に実行される、請求項52から54のいずれかに記載の方法。
  56. EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
    ベース層と、
    前記メンブレンの外面を提供する非晶質キャッピング層と、
    を含む、メンブレン。
  57. 前記非晶質キャッピング層が金属性である、請求項56に記載のメンブレン。
  58. 前記非晶質キャッピング層が合金を含む、請求項56又は57に記載のメンブレン。
  59. 前記合金が、Ru、Mo、B、C、Cr、Ir、Nb、Pd、Pt、Re、Rh、Ti、V、Yのうちの1つ以上、好ましくは、1)Ruと、Rh、Pd、Pt、Y、B、Ti、C、及びPのうちの1つ以上、2)Moと、B、Ta、Nb、Cr、及びRuのうちの1つ以上のうちの一方又は両方を含む、請求項58に記載のメンブレン。
  60. 前記合金が、BがドープされたRu、PがドープされたRu、又はMo(1−x−y)Ruを含む、請求項59に記載のメンブレン。
  61. EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
    ベース層と、
    前記メンブレンの外面を提供するキャッピング層であって、少なくとも20%の割合のsp炭素を有する炭素を含むキャッピング層と、
    を含む、メンブレン。
  62. 前記ベース層が、前記キャッピング層の炭素中のsp炭素の割合より低いsp炭素の割合を有する炭素を含む、請求項61に記載のメンブレン。
  63. EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
    ベース層と、
    前記メンブレンの外面を提供するキャッピング層であって、アルカリ金属又はアルカリ土類金属がドープされた遷移金属を含むキャッピング層と、
    を含む、メンブレン。
  64. EUVリソグラフィ用のメンブレンであって、前記メンブレンが、
    ベース層と、
    前記メンブレンの外面を提供するキャッピング層であって、M1M2を含み、M1が1つ以上のアルカリ金属及び/又は1つ以上のアルカリ土類金属を含み、M2が遷移金属、任意選択で希土類金属を含むキャッピング層と、
    を含む、メンブレン。
  65. 前記キャッピング層が、SrRuO、SrVO、CaVO、La0.67Sr0.33MnOのうちの1つ以上を含む、請求項64に記載のメンブレン。
  66. EUVリソグラフィ用のメンブレンを製造する方法であって、
    支持構造上に少なくとも1つのベース層を形成することと、
    前記ベース層を含む自立メンブレンを形成するために前記ベース層の下の選択された領域内の前記支持構造にエッチングすることと、
    を含み、
    前記支持構造と前記ベース層との熱膨張係数の差がシリコンと前記ベース層との熱膨張係数の差より小さい、方法。
  67. 前記ベース層がMoSi、ZrSi、B、及びBCのうちの1つ以上を含み、前記支持構造がサファイアを含むか、又は
    前記ベース層がsp炭素を含み、前記支持構造がクォーツを含む、請求項66に記載の方法。
  68. 自立部分を含むEUVリソグラフィ用のメンブレンであって、
    前記自立部分がベース層を含み、支持構造によって支持された非自立部分に接続され、前記支持構造と前記ベース層との熱膨張係数の差がシリコンと前記ベース層との熱膨張係数の差より小さい、メンブレン。
  69. 請求項52から55及び66から67のいずれかに記載の方法を使用して製造されたメンブレン。
  70. 請求項1から33、41から51、56から65、及び68から69のいずれかに記載のメンブレンを含む、EUVリソグラフィ用のパターニングデバイスアセンブリ。
  71. 請求項1から33、41から51、56から65、及び68から69に記載のメンブレンを含む、EUVリソグラフィ用の動的ガスロックアセンブリ。
  72. EUVリソグラフィ用のメンブレンを浄化するためのクリーニングツールであって、
    層流の方向が前記メンブレンの外面と平行になるようにチャンバを通って層流ガスフローを提供するための入口開口部と排出開口部とを含むチャンバと、
    前記チャンバ内で前記メンブレンを保持するように配置されたインターフェイスプレートと、
    前記インターフェイスプレートに結合され、汚染粒子が前記メンブレンの前記外面から解放されるように前記メンブレンを振動させるように配置された振動ステージと、
    を含む、クリーニングツール。
  73. EUVリソグラフィ用のメンブレンを浄化するためのクリーニングツールであって、
    層流の方向が前記メンブレンの外面と平行になるようにチャンバを通って層流ガスフローを提供するための入口開口部と排出開口部とを含むチャンバと、
    前記チャンバ内で前記メンブレンを保持するように配置されたインターフェイスプレートと、
    前記メンブレンの前に配置され、汚染粒子が前記メンブレンの前記外面から解放されるように音波で前記メンブレンを振動させるように配置された音響スピーカと、
    を含む、クリーニングツール。
  74. インスペクションカメラと光源とをさらに含む、請求項72又は73に記載のクリーニングツール。
  75. 前記メンブレンが垂直位置にあり、前記層流が前記クリーニングツールから前記汚染粒子を除去するように前記チャンバの前記入口開口部及び前記排出開口部が配置される、請求項72から74に記載のクリーニングツール。
JP2018548190A 2016-04-25 2017-04-12 Euvリソグラフィ用のメンブレン Active JP7009380B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022003245A JP7126032B2 (ja) 2016-04-25 2022-01-12 Euvリソグラフィ用のメンブレン

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
EP16166775 2016-04-25
EP16166775.3 2016-04-25
EP16195123 2016-10-21
EP16195123.1 2016-10-21
EP16205298 2016-12-20
EP16205298.9 2016-12-20
PCT/EP2017/058721 WO2017186486A1 (en) 2016-04-25 2017-04-12 A membrane for euv lithography

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022003245A Division JP7126032B2 (ja) 2016-04-25 2022-01-12 Euvリソグラフィ用のメンブレン

Publications (2)

Publication Number Publication Date
JP2019515322A true JP2019515322A (ja) 2019-06-06
JP7009380B2 JP7009380B2 (ja) 2022-01-25

Family

ID=58544946

Family Applications (4)

Application Number Title Priority Date Filing Date
JP2018548190A Active JP7009380B2 (ja) 2016-04-25 2017-04-12 Euvリソグラフィ用のメンブレン
JP2022003245A Active JP7126032B2 (ja) 2016-04-25 2022-01-12 Euvリソグラフィ用のメンブレン
JP2022129158A Active JP7478778B2 (ja) 2016-04-25 2022-08-15 Euvリソグラフィ用のメンブレン
JP2023168399A Pending JP2023165951A (ja) 2016-04-25 2023-09-28 Euvリソグラフィ用のメンブレン

Family Applications After (3)

Application Number Title Priority Date Filing Date
JP2022003245A Active JP7126032B2 (ja) 2016-04-25 2022-01-12 Euvリソグラフィ用のメンブレン
JP2022129158A Active JP7478778B2 (ja) 2016-04-25 2022-08-15 Euvリソグラフィ用のメンブレン
JP2023168399A Pending JP2023165951A (ja) 2016-04-25 2023-09-28 Euvリソグラフィ用のメンブレン

Country Status (9)

Country Link
US (3) US10908496B2 (ja)
EP (2) EP3449312B1 (ja)
JP (4) JP7009380B2 (ja)
KR (3) KR20230023066A (ja)
CN (2) CN114942566A (ja)
CA (1) CA3021916A1 (ja)
NL (4) NL2018691B1 (ja)
TW (2) TWI744316B (ja)
WO (1) WO2017186486A1 (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021502585A (ja) * 2017-11-10 2021-01-28 エーエスエムエル ネザーランズ ビー.ブイ. Euvペリクル
KR20210016787A (ko) * 2019-08-05 2021-02-17 주식회사 에프에스티 펠리클 프레임, 이를 포함하는 펠리클, 펠리클 프레임의 제조방법, 펠리클을 포함하는 노광장치 및 펠리클의 제조장치
KR20210084381A (ko) * 2019-10-23 2021-07-07 주식회사 에프에스티 펠리클 프레임과 펠리클 멤브레인 일체형의 euv 펠리클 및 일체형의 euv 펠리클를 포함하는 노광장치
JP2022029394A (ja) * 2020-08-04 2022-02-17 エスアンドエス テック カンパニー リミテッド 極紫外線リソグラフィ用ペリクル及びその製造方法
JP2022162545A (ja) * 2021-04-12 2022-10-24 コリア エレクトロニクス テクノロジ インスティチュート イットリウム系基盤の極紫外線露光用ペリクル
JP2022163710A (ja) * 2021-04-14 2022-10-26 コリア エレクトロニクス テクノロジ インスティチュート 極紫外線露光用ペリクル
JP7462005B1 (ja) 2022-10-06 2024-04-04 レーザーテック株式会社 ビームスプリッタ及び光学装置

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6851017B2 (ja) * 2016-05-18 2021-03-31 パナソニックIpマネジメント株式会社 デバイス及びその製造方法
US11143951B2 (en) * 2018-04-30 2021-10-12 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
CA3099013A1 (en) 2018-05-04 2019-11-07 Asml Netherlands B.V. Pellicle for euv lithography
WO2019228784A1 (en) * 2018-05-31 2019-12-05 Asml Netherlands B.V. Lithographic apparatus
US11016383B2 (en) * 2018-08-31 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Pellicle for an EUV lithography mask and a method of manufacturing thereof
US11119403B2 (en) * 2018-09-12 2021-09-14 Place Exchange, Inc. Pellicle for flat panel display photomask
CN113302556A (zh) * 2018-12-28 2021-08-24 Asml荷兰有限公司 用于光刻设备的衬底保持器和制造衬底保持器的方法
WO2020207774A1 (en) * 2019-04-12 2020-10-15 Asml Netherlands B.V. Pellicle for euv lithography
EP3764163B1 (en) * 2019-07-11 2023-04-12 IMEC vzw An extreme ultraviolet lithography device
WO2021008856A1 (en) * 2019-07-16 2021-01-21 Asml Netherlands B.V. Oxygen-loss resistant top coating for optical elements
CN115698851A (zh) * 2020-05-26 2023-02-03 Asml荷兰有限公司 用于光刻设备的光学元件和表膜隔膜
CN111623141B (zh) * 2020-06-11 2022-04-26 江苏阀邦半导体材料科技有限公司 一种应用在半导体阀门的金属对金属直接密合结构和处理工艺
KR20220062799A (ko) 2020-11-09 2022-05-17 한국전자기술연구원 극자외선 노광용 펠리클
KR102317053B1 (ko) 2020-12-02 2021-10-26 한국전자기술연구원 그래핀 결함 치유층을 구비하는 극자외선 노광용 펠리클 및 그의 제조 방법
KR102375433B1 (ko) 2020-12-02 2022-03-18 한국전자기술연구원 3성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR102278843B1 (ko) 2020-12-15 2021-07-20 한국전자기술연구원 다성분계의 코어층을 포함하는 극자외선 노광용 펠리클
KR20220092191A (ko) 2020-12-24 2022-07-01 한국전자기술연구원 Euv 노광용 나노박막 광학 시뮬레이션을 위한 방법 및 이를 위한 장치
KR102596427B1 (ko) 2021-01-04 2023-10-31 한국표준과학연구원 오염 입자 차단부와 이를 포함하는 극자외선 노광 장치
KR102625228B1 (ko) 2021-01-04 2024-01-15 한국표준과학연구원 오염 입자 포집부와 이를 포함하는 극자외선 노광 장치
KR20220139656A (ko) 2021-04-08 2022-10-17 한국전자기술연구원 비정질 탄소를 포함하는 극자외선 노광용 펠리클 및 그의 제조 방법
KR20220140105A (ko) 2021-04-09 2022-10-18 한국전자기술연구원 탄화몰리브데넘을 포함하는 극자외선 노광용 펠리클
KR20220141374A (ko) 2021-04-12 2022-10-20 한국전자기술연구원 이트륨화합물을 함유하는 극자외선 노광용 펠리클
KR20220142024A (ko) 2021-04-14 2022-10-21 한국전자기술연구원 극자외선 노광용 펠리클
US11815804B2 (en) * 2021-04-22 2023-11-14 Taiwan Semiconductor Manufacturing Co., Ltd. EUV mask blank and method of making EUV mask blank
EP4086702A1 (en) * 2021-05-03 2022-11-09 ASML Netherlands B.V. Temperature measurement of optical elements in an optical apparatus
US20230069583A1 (en) * 2021-08-27 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Pellicle for an euv lithography mask and a method of manufacturing thereof
KR20230058783A (ko) 2021-10-25 2023-05-03 한국전자기술연구원 탄화나이오븀 기반의 극자외선 노광용 펠리클
KR20230058782A (ko) 2021-10-25 2023-05-03 한국전자기술연구원 탄화이트륨 기반의 극자외선 노광용 펠리클
KR20230073539A (ko) * 2021-11-19 2023-05-26 주식회사 에프에스티 극자외선 리소그라피용 펠리클의 제조방법
KR20230077095A (ko) 2021-11-25 2023-06-01 한국전자기술연구원 금속 탄화물 나노와이어를 이용한 극자외선 노광용 펠리클
US20230359116A1 (en) * 2022-05-06 2023-11-09 Intel Corporation System and process for cleaning a membrane
EP4303655A1 (en) * 2022-07-04 2024-01-10 ASML Netherlands B.V. A membrane and associated method and apparatus
WO2023232408A1 (en) * 2022-05-31 2023-12-07 Asml Netherlands B.V. A membrane and associated method and apparatus
KR20230174998A (ko) * 2022-06-22 2023-12-29 주식회사 에프에스티 극자외선 리소그라피용 펠리클의 제조방법
CN117026190B (zh) * 2023-08-15 2024-02-23 同济大学 一种抑制极紫外钪基多层膜脆化的制备方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11109608A (ja) * 1997-10-01 1999-04-23 Canon Inc マスク構造体、該マスク構造体を用いた露光方法及び露光装置、該マスク構造体を用いて作製された半導体デバイス、ならびに半導体デバイス製造方法
JP2009282298A (ja) * 2008-05-22 2009-12-03 Shin-Etsu Chemical Co Ltd ペリクルおよびペリクルの製造方法
WO2015082214A1 (en) * 2013-12-05 2015-06-11 Asml Netherlands B.V. Apparatus and method for manufacturing a pellicle, and a pellicle
WO2016001351A1 (en) * 2014-07-04 2016-01-07 Asml Netherlands B.V. Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane
JP2016021078A (ja) * 2010-06-25 2016-02-04 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびリソグラフィ方法

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5741576A (en) 1995-09-06 1998-04-21 Inko Industrial Corporation Optical pellicle with controlled transmission peaks and anti-reflective coatings
JPH11109603A (ja) 1997-10-06 1999-04-23 Mitsubishi Electric Corp フォトマスクおよび半導体装置の製造方法
US6593041B2 (en) * 2001-07-31 2003-07-15 Intel Corporation Damascene extreme ultraviolet lithography (EUVL) photomask and method of making
US7456932B2 (en) * 2003-07-25 2008-11-25 Asml Netherlands B.V. Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby
US7723704B2 (en) * 2006-11-10 2010-05-25 Globalfoundries Inc. EUV pellicle with increased EUV light transmittance
US8018578B2 (en) * 2007-04-19 2011-09-13 Asml Netherlands B.V. Pellicle, lithographic apparatus and device manufacturing method
KR20090032876A (ko) * 2007-09-28 2009-04-01 주식회사 하이닉스반도체 리소그래피 장치 및 이를 이용한 반도체 소자의 형성 방법
CN102105837B (zh) * 2008-08-15 2014-04-30 Asml荷兰有限公司 反射镜、光刻设备以及器件制造方法
JP5394808B2 (ja) 2009-04-22 2014-01-22 信越化学工業株式会社 リソグラフィ用ペリクルおよびその製造方法
KR20120101983A (ko) * 2009-06-30 2012-09-17 에이에스엠엘 네델란즈 비.브이. 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법
EP2539771B1 (en) * 2010-02-25 2017-02-01 ASML Netherlands BV Lithographic apparatus and device manufacturing method
JP2013539543A (ja) 2010-06-30 2013-10-24 スリーエム イノベイティブ プロパティズ カンパニー 空間選択的な複屈折低減を有するフィルムを使用するマスク加工
KR20130111524A (ko) * 2010-07-27 2013-10-10 아사히 가라스 가부시키가이샤 Euv 리소그래피용 반사층 형성 기판, 및 euv 리소그래피용 반사형 마스크 블랭크
DE102011005543A1 (de) * 2011-03-15 2012-09-20 Carl Zeiss Smt Gmbh Verfahren zur Korrektur der Oberflächenform eines Spiegels
WO2013118280A1 (ja) 2012-02-09 2013-08-15 株式会社日立製作所 機密データ漏えい防止装置および方法
WO2013152921A1 (en) 2012-04-12 2013-10-17 Asml Netherlands B.V. Pellicle, reticle assembly and lithographic apparatus
KR102040720B1 (ko) * 2012-05-21 2019-11-05 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치
WO2014020003A1 (en) 2012-08-03 2014-02-06 Asml Netherlands B.V. Lithographic apparatus and method of manufacturing a device
KR101569560B1 (ko) 2012-10-10 2015-11-16 주식회사 엘지화학 가소제 조성물, 제조 방법 및 내열 수지 조성물
US8932785B2 (en) * 2012-10-16 2015-01-13 Advanced Mask Technology Center Gmbh & Co. Kg EUV mask set and methods of manufacturing EUV masks and integrated circuits
JP2016507763A (ja) * 2012-12-17 2016-03-10 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置のための基板サポート及びリソグラフィ装置
WO2014129527A1 (ja) * 2013-02-22 2014-08-28 Hoya株式会社 反射型マスクブランクの製造方法、及び反射型マスクの製造方法
US9354508B2 (en) * 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
JP6382298B2 (ja) 2013-03-27 2018-08-29 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置
WO2014188710A1 (ja) * 2013-05-24 2014-11-27 三井化学株式会社 ペリクル、及びこれらを含むeuv露光装置
US9606459B2 (en) * 2014-01-27 2017-03-28 Luxel Corporation Monolithic EUV transparent membrane and support mesh and method of manufacturing same
DE102014204171A1 (de) * 2014-03-06 2015-09-24 Carl Zeiss Smt Gmbh Optisches Element und optische Anordnung damit
KR101680937B1 (ko) * 2014-04-17 2016-11-30 한양대학교 산학협력단 Euv 리소그래피용 펠리클 및 그 제조방법
WO2015161934A1 (en) 2014-04-23 2015-10-29 Asml Netherlands B.V. A lithographic apparatus, radiation source, and lithographic system
WO2015178250A1 (ja) 2014-05-19 2015-11-26 三井化学株式会社 ペリクル膜、ペリクル、露光原版、露光装置及び半導体装置の製造方法
US9588416B2 (en) * 2014-06-26 2017-03-07 Columbia University Methods and apparatus for nanofabrication using a pliable membrane mask
US9739913B2 (en) * 2014-07-11 2017-08-22 Applied Materials, Inc. Extreme ultraviolet capping layer and method of manufacturing and lithography thereof
CN111458973B (zh) 2014-11-17 2024-02-09 Asml荷兰有限公司 表膜框架附接设备
US10712657B2 (en) 2015-07-17 2020-07-14 Asml Netherlands B.V. Method for manufacturing a membrane assembly
NL2017667A (en) 2015-11-03 2017-05-23 Asml Netherlands Bv A method for manufacturing a membrane assembly
CA3008474A1 (en) 2015-12-14 2017-06-22 Asml Netherlands B.V. A membrane for euv lithography
US10928722B2 (en) 2015-12-18 2021-02-23 Asml Netherlands B.V. Method of manufacturing a membrane assembly for EUV lithography, a membrane assembly, a lithographic apparatus, and a device manufacturing method

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11109608A (ja) * 1997-10-01 1999-04-23 Canon Inc マスク構造体、該マスク構造体を用いた露光方法及び露光装置、該マスク構造体を用いて作製された半導体デバイス、ならびに半導体デバイス製造方法
JP2009282298A (ja) * 2008-05-22 2009-12-03 Shin-Etsu Chemical Co Ltd ペリクルおよびペリクルの製造方法
JP2016021078A (ja) * 2010-06-25 2016-02-04 エーエスエムエル ネザーランズ ビー.ブイ. リソグラフィ装置およびリソグラフィ方法
WO2015082214A1 (en) * 2013-12-05 2015-06-11 Asml Netherlands B.V. Apparatus and method for manufacturing a pellicle, and a pellicle
WO2016001351A1 (en) * 2014-07-04 2016-01-07 Asml Netherlands B.V. Membranes for use within a lithographic apparatus and a lithographic apparatus comprising such a membrane

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021502585A (ja) * 2017-11-10 2021-01-28 エーエスエムエル ネザーランズ ビー.ブイ. Euvペリクル
JP7258017B2 (ja) 2017-11-10 2023-04-14 エーエスエムエル ネザーランズ ビー.ブイ. Euvペリクル
KR20210016787A (ko) * 2019-08-05 2021-02-17 주식회사 에프에스티 펠리클 프레임, 이를 포함하는 펠리클, 펠리클 프레임의 제조방법, 펠리클을 포함하는 노광장치 및 펠리클의 제조장치
KR102242341B1 (ko) 2019-08-05 2021-04-20 주식회사 에프에스티 펠리클 프레임의 제조방법 및 펠리클의 제조장치
KR20210084381A (ko) * 2019-10-23 2021-07-07 주식회사 에프에스티 펠리클 프레임과 펠리클 멤브레인 일체형의 euv 펠리클 및 일체형의 euv 펠리클를 포함하는 노광장치
KR102375471B1 (ko) 2019-10-23 2022-03-18 주식회사 에프에스티 펠리클 프레임과 펠리클 멤브레인 일체형의 euv 펠리클 및 일체형의 euv 펠리클를 포함하는 노광장치
JP2022029394A (ja) * 2020-08-04 2022-02-17 エスアンドエス テック カンパニー リミテッド 極紫外線リソグラフィ用ペリクル及びその製造方法
JP2022162545A (ja) * 2021-04-12 2022-10-24 コリア エレクトロニクス テクノロジ インスティチュート イットリウム系基盤の極紫外線露光用ペリクル
JP7386286B2 (ja) 2021-04-12 2023-11-24 コリア エレクトロニクス テクノロジ インスティチュート イットリウム系基盤の極紫外線露光用ペリクル
JP2022163710A (ja) * 2021-04-14 2022-10-26 コリア エレクトロニクス テクノロジ インスティチュート 極紫外線露光用ペリクル
JP7462005B1 (ja) 2022-10-06 2024-04-04 レーザーテック株式会社 ビームスプリッタ及び光学装置

Also Published As

Publication number Publication date
US10908496B2 (en) 2021-02-02
NL2024033B1 (en) 2020-08-19
JP7478778B2 (ja) 2024-05-07
KR102501192B1 (ko) 2023-02-21
CN109154771A (zh) 2019-01-04
US20190129299A1 (en) 2019-05-02
US20240004283A1 (en) 2024-01-04
TW201807507A (zh) 2018-03-01
NL2024033A (en) 2019-12-16
EP3449312B1 (en) 2023-05-31
JP2023165951A (ja) 2023-11-17
WO2017186486A1 (en) 2017-11-02
JP7009380B2 (ja) 2022-01-25
KR20180135490A (ko) 2018-12-20
TW202201145A (zh) 2022-01-01
CA3021916A1 (en) 2017-11-02
CN114942566A (zh) 2022-08-26
NL2018691B1 (en) 2018-03-13
KR102408195B1 (ko) 2022-06-13
TW202314373A (zh) 2023-04-01
TWI789920B (zh) 2023-01-11
JP7126032B2 (ja) 2022-08-25
US11762281B2 (en) 2023-09-19
NL2020517B1 (en) 2019-03-05
NL2020517A (en) 2018-04-04
EP3449312A1 (en) 2019-03-06
NL2018691A (en) 2017-11-01
EP4202545A1 (en) 2023-06-28
US20210109438A1 (en) 2021-04-15
KR20230023066A (ko) 2023-02-16
JP2022160700A (ja) 2022-10-19
NL2022557B1 (en) 2019-11-07
CN109154771B (zh) 2022-06-03
JP2022036240A (ja) 2022-03-04
NL2022557A (en) 2019-02-27
KR20210156303A (ko) 2021-12-24
TWI744316B (zh) 2021-11-01

Similar Documents

Publication Publication Date Title
JP7126032B2 (ja) Euvリソグラフィ用のメンブレン
JP6830097B2 (ja) 膜アセンブリを製造する方法
JP7368363B2 (ja) グラフェンペリクルリソグラフィ装置
TW201502696A (zh) 防護薄膜組件、含有其的euv曝光裝置、曝光原版以及曝光方法
WO2021018777A1 (en) Pellicle membrane
JP7122367B2 (ja) 局所熱処理による多層グラフェンペリクルの同時両面コーティング
TWI835473B (zh) 用於euv微影之膜、護膜總成、圖案化器件總成及動態氣鎖總成

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181113

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200408

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201124

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210222

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210715

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211008

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20211215

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220112

R150 Certificate of patent or registration of utility model

Ref document number: 7009380

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150