TW202201145A - 用於極紫外線微影之膜及其製造方法 - Google Patents
用於極紫外線微影之膜及其製造方法 Download PDFInfo
- Publication number
- TW202201145A TW202201145A TW110135604A TW110135604A TW202201145A TW 202201145 A TW202201145 A TW 202201145A TW 110135604 A TW110135604 A TW 110135604A TW 110135604 A TW110135604 A TW 110135604A TW 202201145 A TW202201145 A TW 202201145A
- Authority
- TW
- Taiwan
- Prior art keywords
- film
- layer
- base layer
- metal
- capping layer
- Prior art date
Links
- 239000012528 membrane Substances 0.000 title claims abstract description 87
- 238000001900 extreme ultraviolet lithography Methods 0.000 title claims abstract description 51
- 238000004519 manufacturing process Methods 0.000 title description 31
- 229910052751 metal Inorganic materials 0.000 claims abstract description 217
- 239000002184 metal Substances 0.000 claims abstract description 217
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 54
- 239000002585 base Substances 0.000 claims description 263
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 54
- 229910020968 MoSi2 Inorganic materials 0.000 claims description 43
- 239000005388 borosilicate glass Substances 0.000 claims description 23
- 238000005530 etching Methods 0.000 claims description 23
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 22
- 229920005591 polysilicon Polymers 0.000 claims description 22
- 229910045601 alloy Inorganic materials 0.000 claims description 17
- 239000000956 alloy Substances 0.000 claims description 17
- 229910052758 niobium Inorganic materials 0.000 claims description 16
- 230000001066 destructive effect Effects 0.000 claims description 15
- 229910052784 alkaline earth metal Inorganic materials 0.000 claims description 13
- 229910052750 molybdenum Inorganic materials 0.000 claims description 13
- 150000001342 alkaline earth metals Chemical class 0.000 claims description 11
- 229910052719 titanium Inorganic materials 0.000 claims description 11
- 229910052723 transition metal Inorganic materials 0.000 claims description 9
- 150000003624 transition metals Chemical class 0.000 claims description 9
- 229910021354 zirconium(IV) silicide Inorganic materials 0.000 claims description 9
- 229910052804 chromium Inorganic materials 0.000 claims description 7
- 229910052783 alkali metal Inorganic materials 0.000 claims description 6
- 150000001340 alkali metals Chemical class 0.000 claims description 6
- 229910014019 M2 y Oz Inorganic materials 0.000 claims description 5
- 229910009365 YSi2 Inorganic materials 0.000 claims description 5
- 229910052715 tantalum Inorganic materials 0.000 claims description 5
- 229910018246 LaSi2 Inorganic materials 0.000 claims description 4
- 229910020044 NbSi2 Inorganic materials 0.000 claims description 4
- 229910052761 rare earth metal Inorganic materials 0.000 claims description 4
- 150000002910 rare earth metals Chemical class 0.000 claims description 4
- 229910003369 La0.67Sr0.33MnO3 Inorganic materials 0.000 claims description 3
- 229910004121 SrRuO Inorganic materials 0.000 claims description 3
- 229910003114 SrVO Inorganic materials 0.000 claims description 3
- 229910052759 nickel Inorganic materials 0.000 claims description 3
- 239000003513 alkali Substances 0.000 claims description 2
- 150000001875 compounds Chemical class 0.000 abstract description 69
- 229910052710 silicon Inorganic materials 0.000 abstract description 39
- 229910052796 boron Inorganic materials 0.000 abstract description 26
- 229910052757 nitrogen Inorganic materials 0.000 abstract description 7
- 239000010410 layer Substances 0.000 description 771
- 239000010408 film Substances 0.000 description 311
- 230000005855 radiation Effects 0.000 description 68
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 47
- 239000000758 substrate Substances 0.000 description 47
- 238000000034 method Methods 0.000 description 45
- 239000000463 material Substances 0.000 description 40
- 230000001788 irregular Effects 0.000 description 28
- 238000000151 deposition Methods 0.000 description 27
- 239000007789 gas Substances 0.000 description 25
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 24
- 239000010703 silicon Substances 0.000 description 24
- 230000003647 oxidation Effects 0.000 description 23
- 238000007254 oxidation reaction Methods 0.000 description 23
- 239000002245 particle Substances 0.000 description 23
- 230000005540 biological transmission Effects 0.000 description 22
- 238000004140 cleaning Methods 0.000 description 22
- 239000000203 mixture Substances 0.000 description 22
- 239000000377 silicon dioxide Substances 0.000 description 22
- 238000001459 lithography Methods 0.000 description 21
- 230000008021 deposition Effects 0.000 description 18
- 150000002739 metals Chemical class 0.000 description 18
- 230000001681 protective effect Effects 0.000 description 18
- 229910016006 MoSi Inorganic materials 0.000 description 17
- 230000004888 barrier function Effects 0.000 description 17
- 238000002310 reflectometry Methods 0.000 description 17
- 238000006243 chemical reaction Methods 0.000 description 16
- 235000012239 silicon dioxide Nutrition 0.000 description 15
- 230000015572 biosynthetic process Effects 0.000 description 14
- 238000005286 illumination Methods 0.000 description 13
- 229910052726 zirconium Inorganic materials 0.000 description 13
- 238000011109 contamination Methods 0.000 description 11
- 230000003287 optical effect Effects 0.000 description 11
- 229910052707 ruthenium Inorganic materials 0.000 description 11
- 229910052727 yttrium Inorganic materials 0.000 description 11
- 229910052760 oxygen Inorganic materials 0.000 description 10
- 229910006249 ZrSi Inorganic materials 0.000 description 9
- 230000008569 process Effects 0.000 description 9
- 230000002829 reductive effect Effects 0.000 description 9
- 229910021332 silicide Inorganic materials 0.000 description 9
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 8
- 230000002349 favourable effect Effects 0.000 description 8
- 229910021389 graphene Inorganic materials 0.000 description 8
- 239000001301 oxygen Substances 0.000 description 8
- 229910004298 SiO 2 Inorganic materials 0.000 description 7
- 229910052681 coesite Inorganic materials 0.000 description 7
- 229910052906 cristobalite Inorganic materials 0.000 description 7
- 230000006870 function Effects 0.000 description 7
- 229910003455 mixed metal oxide Inorganic materials 0.000 description 7
- 229910052698 phosphorus Inorganic materials 0.000 description 7
- 229910052682 stishovite Inorganic materials 0.000 description 7
- 229910052905 tridymite Inorganic materials 0.000 description 7
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 6
- MCMNRKCIXSYSNV-UHFFFAOYSA-N Zirconium dioxide Chemical compound O=[Zr]=O MCMNRKCIXSYSNV-UHFFFAOYSA-N 0.000 description 6
- 238000002425 crystallisation Methods 0.000 description 6
- 230000008025 crystallization Effects 0.000 description 6
- 238000013461 design Methods 0.000 description 6
- 238000001514 detection method Methods 0.000 description 6
- 229910018251 LaSi 2 Inorganic materials 0.000 description 5
- 229910019895 RuSi Inorganic materials 0.000 description 5
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 5
- 230000008859 change Effects 0.000 description 5
- 230000001419 dependent effect Effects 0.000 description 5
- 229910003460 diamond Inorganic materials 0.000 description 5
- 239000010432 diamond Substances 0.000 description 5
- 238000009826 distribution Methods 0.000 description 5
- 238000002474 experimental method Methods 0.000 description 5
- 229910052746 lanthanum Inorganic materials 0.000 description 5
- 238000005240 physical vapour deposition Methods 0.000 description 5
- 238000002834 transmittance Methods 0.000 description 5
- 229910008484 TiSi Inorganic materials 0.000 description 4
- 238000005275 alloying Methods 0.000 description 4
- 230000008901 benefit Effects 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 238000000576 coating method Methods 0.000 description 4
- 239000002019 doping agent Substances 0.000 description 4
- 238000005538 encapsulation Methods 0.000 description 4
- 229910052739 hydrogen Inorganic materials 0.000 description 4
- 230000001590 oxidative effect Effects 0.000 description 4
- 229910052763 palladium Inorganic materials 0.000 description 4
- 238000000059 patterning Methods 0.000 description 4
- 229910052697 platinum Inorganic materials 0.000 description 4
- 210000001747 pupil Anatomy 0.000 description 4
- 229910052703 rhodium Inorganic materials 0.000 description 4
- 238000000926 separation method Methods 0.000 description 4
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 4
- 230000000930 thermomechanical effect Effects 0.000 description 4
- 239000010409 thin film Substances 0.000 description 4
- 229910001868 water Inorganic materials 0.000 description 4
- 238000004833 X-ray photoelectron spectroscopy Methods 0.000 description 3
- 230000001133 acceleration Effects 0.000 description 3
- 238000000137 annealing Methods 0.000 description 3
- 125000004429 atom Chemical group 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 230000007547 defect Effects 0.000 description 3
- 238000009792 diffusion process Methods 0.000 description 3
- 230000000694 effects Effects 0.000 description 3
- 238000011010 flushing procedure Methods 0.000 description 3
- 239000000446 fuel Substances 0.000 description 3
- 238000010438 heat treatment Methods 0.000 description 3
- 238000003384 imaging method Methods 0.000 description 3
- 238000005259 measurement Methods 0.000 description 3
- 229910044991 metal oxide Inorganic materials 0.000 description 3
- 150000004706 metal oxides Chemical class 0.000 description 3
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 3
- 239000011241 protective layer Substances 0.000 description 3
- 238000004549 pulsed laser deposition Methods 0.000 description 3
- 239000010453 quartz Substances 0.000 description 3
- 230000009467 reduction Effects 0.000 description 3
- 229910052594 sapphire Inorganic materials 0.000 description 3
- 239000010980 sapphire Substances 0.000 description 3
- 238000004544 sputter deposition Methods 0.000 description 3
- 239000000126 substance Substances 0.000 description 3
- 229910052720 vanadium Inorganic materials 0.000 description 3
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 2
- 229910052684 Cerium Inorganic materials 0.000 description 2
- 229910021193 La 2 O 3 Inorganic materials 0.000 description 2
- 229910018250 LaSi Inorganic materials 0.000 description 2
- -1 Li vapor Substances 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- 229910010413 TiO 2 Inorganic materials 0.000 description 2
- ATJFFYVFTNAWJD-UHFFFAOYSA-N Tin Chemical compound [Sn] ATJFFYVFTNAWJD-UHFFFAOYSA-N 0.000 description 2
- 230000004913 activation Effects 0.000 description 2
- 229910052782 aluminium Inorganic materials 0.000 description 2
- 238000005280 amorphization Methods 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 238000004630 atomic force microscopy Methods 0.000 description 2
- 238000000231 atomic layer deposition Methods 0.000 description 2
- 230000015556 catabolic process Effects 0.000 description 2
- 235000013339 cereals Nutrition 0.000 description 2
- 239000000356 contaminant Substances 0.000 description 2
- 239000012792 core layer Substances 0.000 description 2
- 230000008878 coupling Effects 0.000 description 2
- 238000010168 coupling process Methods 0.000 description 2
- 238000005859 coupling reaction Methods 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 238000006731 degradation reaction Methods 0.000 description 2
- 238000005137 deposition process Methods 0.000 description 2
- 238000001914 filtration Methods 0.000 description 2
- 239000001257 hydrogen Substances 0.000 description 2
- 125000004435 hydrogen atom Chemical group [H]* 0.000 description 2
- 239000011229 interlayer Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910052741 iridium Inorganic materials 0.000 description 2
- 239000002346 layers by function Substances 0.000 description 2
- 238000004518 low pressure chemical vapour deposition Methods 0.000 description 2
- 239000011159 matrix material Substances 0.000 description 2
- 238000000691 measurement method Methods 0.000 description 2
- 150000001247 metal acetylides Chemical class 0.000 description 2
- 238000012986 modification Methods 0.000 description 2
- 230000004048 modification Effects 0.000 description 2
- 238000012544 monitoring process Methods 0.000 description 2
- 230000010363 phase shift Effects 0.000 description 2
- 229920002120 photoresistant polymer Polymers 0.000 description 2
- 238000001020 plasma etching Methods 0.000 description 2
- 238000012545 processing Methods 0.000 description 2
- 238000010926 purge Methods 0.000 description 2
- 229910052702 rhenium Inorganic materials 0.000 description 2
- 239000011435 rock Substances 0.000 description 2
- 238000005001 rutherford backscattering spectroscopy Methods 0.000 description 2
- 239000007787 solid Substances 0.000 description 2
- 241000894007 species Species 0.000 description 2
- 229910052712 strontium Inorganic materials 0.000 description 2
- 230000003746 surface roughness Effects 0.000 description 2
- DLYUQMMRRRQYAE-UHFFFAOYSA-N tetraphosphorus decaoxide Chemical compound O1P(O2)(=O)OP3(=O)OP1(=O)OP2(=O)O3 DLYUQMMRRRQYAE-UHFFFAOYSA-N 0.000 description 2
- 229910052718 tin Inorganic materials 0.000 description 2
- 238000012546 transfer Methods 0.000 description 2
- 238000009423 ventilation Methods 0.000 description 2
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 2
- RUDFQVOCFDJEEF-UHFFFAOYSA-N yttrium(III) oxide Inorganic materials [O-2].[O-2].[O-2].[Y+3].[Y+3] RUDFQVOCFDJEEF-UHFFFAOYSA-N 0.000 description 2
- QYEXBYZXHDUPRC-UHFFFAOYSA-N B#[Ti]#B Chemical compound B#[Ti]#B QYEXBYZXHDUPRC-UHFFFAOYSA-N 0.000 description 1
- 229910052580 B4C Inorganic materials 0.000 description 1
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 1
- 206010021143 Hypoxia Diseases 0.000 description 1
- 229910025794 LaB6 Inorganic materials 0.000 description 1
- FUJCRWPEOMXPAD-UHFFFAOYSA-N Li2O Inorganic materials [Li+].[Li+].[O-2] FUJCRWPEOMXPAD-UHFFFAOYSA-N 0.000 description 1
- WHXSMMKQMYFTQS-UHFFFAOYSA-N Lithium Chemical compound [Li] WHXSMMKQMYFTQS-UHFFFAOYSA-N 0.000 description 1
- 229910018663 Mn O Inorganic materials 0.000 description 1
- 229910001182 Mo alloy Inorganic materials 0.000 description 1
- 229910015173 MoB2 Inorganic materials 0.000 description 1
- KKCBUQHMOMHUOY-UHFFFAOYSA-N Na2O Inorganic materials [O-2].[Na+].[Na+] KKCBUQHMOMHUOY-UHFFFAOYSA-N 0.000 description 1
- 229910019742 NbB2 Inorganic materials 0.000 description 1
- 240000007594 Oryza sativa Species 0.000 description 1
- 235000007164 Oryza sativa Nutrition 0.000 description 1
- 229910004541 SiN Inorganic materials 0.000 description 1
- 229910020776 SixNy Inorganic materials 0.000 description 1
- 229910033181 TiB2 Inorganic materials 0.000 description 1
- 229910007948 ZrB2 Inorganic materials 0.000 description 1
- 238000002835 absorbance Methods 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000001154 acute effect Effects 0.000 description 1
- 239000000654 additive Substances 0.000 description 1
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 1
- 230000003064 anti-oxidating effect Effects 0.000 description 1
- 230000003078 antioxidant effect Effects 0.000 description 1
- 229910052786 argon Inorganic materials 0.000 description 1
- 238000003491 array Methods 0.000 description 1
- 238000000429 assembly Methods 0.000 description 1
- 230000000712 assembly Effects 0.000 description 1
- 230000009286 beneficial effect Effects 0.000 description 1
- 230000001588 bifunctional effect Effects 0.000 description 1
- VWZIXVXBCBBRGP-UHFFFAOYSA-N boron;zirconium Chemical compound B#[Zr]#B VWZIXVXBCBBRGP-UHFFFAOYSA-N 0.000 description 1
- 239000000872 buffer Substances 0.000 description 1
- 229910052791 calcium Inorganic materials 0.000 description 1
- 239000002041 carbon nanotube Substances 0.000 description 1
- 229910021393 carbon nanotube Inorganic materials 0.000 description 1
- 238000006555 catalytic reaction Methods 0.000 description 1
- 229910052729 chemical element Inorganic materials 0.000 description 1
- 239000012707 chemical precursor Substances 0.000 description 1
- 230000001010 compromised effect Effects 0.000 description 1
- 239000002826 coolant Substances 0.000 description 1
- 229910052593 corundum Inorganic materials 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 238000011161 development Methods 0.000 description 1
- XUCJHNOBJLKZNU-UHFFFAOYSA-M dilithium;hydroxide Chemical compound [Li+].[Li+].[OH-] XUCJHNOBJLKZNU-UHFFFAOYSA-M 0.000 description 1
- 238000007598 dipping method Methods 0.000 description 1
- 238000007599 discharging Methods 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005670 electromagnetic radiation Effects 0.000 description 1
- 238000005566 electron beam evaporation Methods 0.000 description 1
- 238000000313 electron-beam-induced deposition Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 230000007613 environmental effect Effects 0.000 description 1
- 230000005284 excitation Effects 0.000 description 1
- 230000004907 flux Effects 0.000 description 1
- 238000009472 formulation Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 229910002804 graphite Inorganic materials 0.000 description 1
- 239000010439 graphite Substances 0.000 description 1
- 230000005484 gravity Effects 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 229910052735 hafnium Inorganic materials 0.000 description 1
- 238000011065 in-situ storage Methods 0.000 description 1
- 238000007689 inspection Methods 0.000 description 1
- 238000000869 ion-assisted deposition Methods 0.000 description 1
- 230000001678 irradiating effect Effects 0.000 description 1
- 238000002955 isolation Methods 0.000 description 1
- 238000000608 laser ablation Methods 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 229910052744 lithium Inorganic materials 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 230000005381 magnetic domain Effects 0.000 description 1
- 230000008018 melting Effects 0.000 description 1
- 238000002844 melting Methods 0.000 description 1
- 238000001451 molecular beam epitaxy Methods 0.000 description 1
- 238000013021 overheating Methods 0.000 description 1
- 125000004430 oxygen atom Chemical group O* 0.000 description 1
- 230000037361 pathway Effects 0.000 description 1
- 230000035515 penetration Effects 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 229920000314 poly p-methyl styrene Polymers 0.000 description 1
- 229910021426 porous silicon Inorganic materials 0.000 description 1
- 230000002028 premature Effects 0.000 description 1
- 206010063401 primary progressive multiple sclerosis Diseases 0.000 description 1
- 238000007639 printing Methods 0.000 description 1
- 238000004886 process control Methods 0.000 description 1
- 230000001737 promoting effect Effects 0.000 description 1
- 238000005086 pumping Methods 0.000 description 1
- 238000001552 radio frequency sputter deposition Methods 0.000 description 1
- 230000009257 reactivity Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 235000009566 rice Nutrition 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229910052706 scandium Inorganic materials 0.000 description 1
- 238000004626 scanning electron microscopy Methods 0.000 description 1
- 238000001004 secondary ion mass spectrometry Methods 0.000 description 1
- 238000007493 shaping process Methods 0.000 description 1
- 238000004904 shortening Methods 0.000 description 1
- 239000005368 silicate glass Substances 0.000 description 1
- 239000005361 soda-lime glass Substances 0.000 description 1
- 230000003595 spectral effect Effects 0.000 description 1
- 238000001228 spectrum Methods 0.000 description 1
- 230000000087 stabilizing effect Effects 0.000 description 1
- 239000010421 standard material Substances 0.000 description 1
- KXCAEQNNTZANTK-UHFFFAOYSA-N stannane Chemical compound [SnH4] KXCAEQNNTZANTK-UHFFFAOYSA-N 0.000 description 1
- 229910000080 stannane Inorganic materials 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 230000005469 synchrotron radiation Effects 0.000 description 1
- 238000012360 testing method Methods 0.000 description 1
- 230000009466 transformation Effects 0.000 description 1
- 238000004627 transmission electron microscopy Methods 0.000 description 1
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 239000010937 tungsten Substances 0.000 description 1
- 229910052724 xenon Inorganic materials 0.000 description 1
- FHNFHKCVQCLJFQ-UHFFFAOYSA-N xenon atom Chemical compound [Xe] FHNFHKCVQCLJFQ-UHFFFAOYSA-N 0.000 description 1
- 229910001845 yogo sapphire Inorganic materials 0.000 description 1
- 229910001233 yttria-stabilized zirconia Inorganic materials 0.000 description 1
Images
Classifications
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/62—Pellicles, e.g. pellicle assemblies, e.g. having membrane on support frame; Preparation thereof
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B5/00—Optical elements other than lenses
- G02B5/20—Filters
- G02B5/204—Filters in which spectral selection is performed by means of a conductive grid or array, e.g. frequency selective surfaces
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B5/00—Optical elements other than lenses
- G02B5/20—Filters
- G02B5/208—Filters for use with infrared or ultraviolet radiation, e.g. for separating visible light from infrared and/or ultraviolet radiation
-
- G—PHYSICS
- G02—OPTICS
- G02B—OPTICAL ELEMENTS, SYSTEMS OR APPARATUS
- G02B5/00—Optical elements other than lenses
- G02B5/20—Filters
- G02B5/28—Interference filters
- G02B5/283—Interference filters designed for the ultraviolet
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F1/00—Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
- G03F1/68—Preparation processes not covered by groups G03F1/20 - G03F1/50
- G03F1/82—Auxiliary processes, e.g. cleaning or inspecting
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70058—Mask illumination systems
- G03F7/70191—Optical correction elements, filters or phase plates for controlling intensity, wavelength, polarisation, phase or the like
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/70483—Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
- G03F7/7055—Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
- G03F7/70575—Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/70908—Hygiene, e.g. preventing apparatus pollution, mitigating effect of pollution or removing pollutants from apparatus
- G03F7/70916—Pollution mitigation, i.e. mitigating effect of contamination or debris, e.g. foil traps
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/7095—Materials, e.g. materials for housing, stage or other support having particular properties, e.g. weight, strength, conductivity, thermal expansion coefficient
- G03F7/70958—Optical materials or coatings, e.g. with particular transmittance, reflectance or anti-reflection properties
-
- G—PHYSICS
- G03—PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
- G03F—PHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
- G03F7/00—Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
- G03F7/70—Microphotolithographic exposure; Apparatus therefor
- G03F7/708—Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
- G03F7/70983—Optical system protection, e.g. pellicles or removable covers for protection of mask
Landscapes
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Health & Medical Sciences (AREA)
- Public Health (AREA)
- Epidemiology (AREA)
- Engineering & Computer Science (AREA)
- Environmental & Geological Engineering (AREA)
- Life Sciences & Earth Sciences (AREA)
- Atmospheric Sciences (AREA)
- Optics & Photonics (AREA)
- Toxicology (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
- Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
- Preparing Plates And Mask In Photomechanical Process (AREA)
- Separation Using Semi-Permeable Membranes (AREA)
- Chemical Vapour Deposition (AREA)
Abstract
揭示用於EUV微影之膜。在一個配置中,一膜包含具有按以下次序之層之一堆疊:一第一罩蓋層,其包含第一金屬之氧化物;一基層,其包含化合物,該化合物包含第二金屬及選自由以下各者組成之群組之額外元素:Si、B、C及N;及一第二罩蓋層,其包含第三金屬之氧化物,其中該第一金屬不同於該第二金屬且該第三金屬相同或不同於該第一金屬。
Description
本發明係關於一種用於EUV微影之膜、圖案化器件總成及動態氣鎖總成。
微影裝置為將所要圖案施加至基板上(通常施加至基板之目標部分上)之機器。微影裝置可用於(例如)積體電路(IC)製造中。在彼情況下,圖案化器件(其被替代地稱作光罩或倍縮光罩)可用以產生待形成於IC之個別層上的電路圖案。可將此圖案轉印至基板(例如,矽晶圓)上之目標部分(例如,包含晶粒之部分、一個晶粒或若干晶粒)上。通常經由成像至提供於基板上之輻射敏感材料(抗蝕劑)層上而進行圖案之轉印。一般而言,單一基板將含經順次地圖案化之鄰近目標部分之網路。
微影被廣泛地認為是在IC以及其他器件及/或結構之製造中之關鍵步驟中的一者。然而,隨著使用微影所製造之特徵之尺寸變得愈來愈小,微影正變為用於使能夠製造小型IC或其他器件及/或結構之更具決定性因素。
圖案印刷極限之理論估計可由瑞立(Rayleigh)解析度準則給出,如方程式(1)所展示:
其中λ為所使用輻射之波長,NA為用以印刷圖案之投影系統之數值孔徑,k1
為程序相依調整因數(其亦被稱作瑞立常數),且CD為經印刷特徵之特徵大小(或臨界尺寸)。自方程式(1)可見,可以三種方式來獲得特徵之最小可印刷大小之縮減:藉由縮短曝光波長λ、藉由增加數值孔徑NA,或藉由減小k1
之值。
為了縮短曝光波長且因此縮減最小可印刷大小,已提議使用極紫外線(EUV)輻射源。EUV輻射為具有在10奈米至20奈米之範圍內(例如,在13奈米至14奈米之範圍內)之波長之電磁輻射。已進一步提議可使用具有小於10奈米(例如在5奈米至10奈米之範圍內,諸如6.7奈米或6.8奈米)之波長之EUV輻射。此輻射被稱為極紫外線輻射或軟x射線輻射。舉例而言,可能之源包括雷射產生電漿源、放電電漿源,或基於由電子儲存環提供之同步加速器輻射之源。
微影裝置包括圖案化器件(例如,光罩或倍縮光罩)。輻射被提供通過圖案化器件或自圖案化器件反射以在基板上形成影像。可提供膜總成以保護圖案化器件免受空浮粒子及其他形式之污染影響。用於保護圖案化器件之膜總成可被稱為護膜。圖案化器件之表面上之污染可造成基板上之製造缺陷。膜總成可包含邊界及橫越該邊界拉伸之膜。
需要使膜具有高發射率及低出故障可能性之組合。亦需要使膜具有高EUV透射率。
根據本發明之一態樣,提供一種用於EUV微影之膜,該膜包含具有按以下次序之層之一堆疊:一第一罩蓋層,其包含第一金屬之氧化物;一基層,其包含化合物,該化合物包含第二金屬及選自由以下各者組成之群組之額外元素:Si、B、C及N;及一第二罩蓋層,其包含第三金屬之氧化物,其中該第一金屬不同於該第二金屬且該第三金屬相同或不同於該第一金屬。
根據本發明之一態樣,提供一種用於EUV微影之膜,其中:該膜包含一膜層,該膜層包含化合物,該化合物包含金屬及額外元素;且該膜之兩個外表面之至少部分係在該膜層中由該化合物或由該額外元素之氧化物形成,其中:
該金屬為Mo且該額外元素為Si;
該金屬為Ru且該額外元素為Si;
該金屬為Zr且該額外元素為Si;
該金屬為La且該額外元素為Si;
該金屬為Sc且該額外元素為Si;
該金屬為Y且該額外元素為Si;
該金屬為Nb且該額外元素為Si;
該金屬為Mo且該額外元素為B;
該金屬為Ru且該額外元素為B;
該金屬為Zr且該額外元素為B;
該金屬為Nb且該額外元素為B;
該金屬為Ti且該額外元素為B;
該金屬為La且該額外元素為B;或
該金屬為Zr且該額外元素為C。
根據本發明之一態樣,提供一種製造用於EUV微影之一膜之方法,其包含:提供一基層;及提供一發射率層,相比於該基層,該發射率層具有一較高紅外線發射率,其中該發射率層經提供於一不規則支撐表面上;且該發射率層具有一厚度使得該不規則支撐表面之不規則性在與該不規則支撐表面相對之該發射率層之表面中產生一對應不規則性。
根據本發明之一態樣,提供一種製造用於EUV微影之一膜之方法,其包含:使用一光罩來界定一支撐結構中之待蝕刻之一區;及蝕刻該經界定區,其中:該光罩具有由包含複數個筆直區段之一光罩邊界線界定之一形狀;且每一對直接鄰近筆直區段之間的內部角大於90度。
根據本發明之一態樣,提供一種用於EUV微影之膜,其包含一獨立部分,其中:該獨立部分之一形狀係由包含複數個實質上筆直區段之一獨立部分邊界線界定;且每一對直接鄰近筆直區段之間的內部角大於90度。
根據本發明之一態樣,提供一種用於EUV微影之膜,該膜包含:一基層;及一罩蓋層,其中該罩蓋層包含Mo與至少一種其他金屬之合金。
根據本發明之一態樣,提供一種用於EUV微影之膜,該膜包含:一基層;及一罩蓋層,其中該罩蓋層包含硼矽酸玻璃。
根據本發明之一態樣,提供一種用於EUV微影之膜,該膜包含:一基層,該基層包含以下各者中之一或多者:YSi2
、ZrSi2
、LaSi2
及NbSi2
。
根據本發明之一態樣,提供一種用於EUV微影之膜,該EUV微影使用具有波長λ之一EUV輻射,該膜包含按以下次序之層之一堆疊:一第一保護性罩蓋層;具有λ/2之厚度之一第一發射率層;具有λ/4之一厚度之一第一障壁層;一基層;其中該第一保護性罩蓋層具有與該第一發射率層之折射率匹配且與該第一障壁層之折射率匹配之折射率;且其中該等層之該厚度經選擇以在來自該膜之相對側上之界面的EUV反射之間達成破壞性干涉。
根據本發明之一態樣,提供一種用於清潔用於EUV微影之一膜之清潔工具,其包含:一腔室,其包含用於將一層狀氣流提供通過該腔室之一進入開口及一排出開口,使得該層流之方向與該膜之一外表面平行;在該腔室中,一界面板經配置以固持該膜,且一搖動載物台耦接至該界面板且經配置以搖動該膜使得自該膜之該外表面釋放污染粒子。替代地,代替一搖動載物台,在該膜前方之一聲學揚聲器經配置以運用聲波搖動該膜。
根據本發明之一態樣,提供一種製造用於EUV微影之一膜之方法,其包含:提供一基層或包含一基層之一堆疊;及在該基層上或在該堆疊上形成一非晶罩蓋層。
根據本發明之一態樣,提供一種用於EUV微影之膜,該膜包含:一基層;及一非晶罩蓋層,其提供該膜之一外表面。
根據本發明之一態樣,提供一種用於EUV微影之膜,該膜包含:一基層;及一罩蓋層,其提供該膜之一外表面,其中該罩蓋層包含sp3
碳之一比例為至少20%之碳。
根據本發明之一態樣,提供一種用於EUV微影之膜,該膜包含:一基層;及一罩蓋層,其提供該膜之一外表面,其中該罩蓋層包含摻雜有鹼金屬或鹼土金屬之過渡金屬。
根據本發明之一態樣,提供一種用於EUV微影之膜,該膜包含:一基層;及一罩蓋層,其提供該膜之一外表面,其中該罩蓋層包含M1x
M2y
Oz
,其中M1為鹼金屬或鹼土金屬,且M2為過渡金屬,視情況為稀土金屬。
根據本發明之一態樣,提供一種製造用於EUV微影之一膜之方法,其包含:在一支撐結構上形成至少一基層;及在該基層下方之一經選擇區中蝕刻該支撐結構以形成包含該基層之一獨立膜,其中:該支撐結構之熱膨脹係數與該基層之熱膨脹係數之間的一差小於矽之熱膨脹係數與該基層之熱膨脹係數之間的一差。
根據本發明之一態樣,提供一種用於EUV微影之膜,其包含一獨立部分,其中:該獨立部分包含一基層且連接至由一支撐結構支撐之一非獨立部分,其中該支撐結構之熱膨脹係數與該基層之熱膨脹係數之間的一差小於矽之熱膨脹係數與該基層之熱膨脹係數之間的一差。
圖1示意性地描繪根據本發明之一個實施例之包括源收集器模組SO之微影裝置100。裝置100包含:
- 照明系統(或照明器) IL,其經組態以調節輻射光束B (例如,EUV輻射);
- 支撐結構(例如,光罩台) MT,其經建構以支撐圖案化器件(例如,光罩或倍縮光罩) MA,且連接至經組態以準確地定位該圖案化器件之第一定位器PM;
- 基板台(例如,晶圓台) WT,其經建構以固持基板(例如,抗蝕劑塗佈晶圓) W,且連接至經組態以準確地定位該基板之第二定位器PW;及
- 投影系統(例如,反射投影系統) PS,其經組態以將由圖案化器件MA賦予至輻射光束B之圖案投影至基板W之目標部分C (例如,包含一或多個晶粒)上。
照明系統IL可包括用於導向、塑形或控制輻射的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。
支撐結構MT以取決於圖案化器件之定向、微影裝置之設計及其他條件(諸如,該圖案化器件是否被固持於真空環境中)的方式來固持該圖案化器件MA。支撐結構MT可使用機械、真空、靜電或其他夾持技術以固持圖案化器件MA。支撐結構MT可為(例如)框架或台,其可視需要而固定或可移動。支撐結構MT可確保圖案化器件MA(例如)相對於投影系統PS處於所要位置。
術語「圖案化器件」應被廣泛地解譯為係指可用以在輻射光束B之橫截面中向輻射光束B賦予圖案以便在基板W之目標部分C中產生圖案的任何器件。被賦予至輻射光束B之圖案可對應於目標部分C中所產生之器件(諸如,積體電路)中的特定功能層。
圖案化器件MA可為透射的或反射的。圖案化器件之實例包括光罩、可程式化鏡面陣列,及可程式化液晶顯示器(LCD)面板。光罩在微影中為吾人所熟知,且包括諸如二元、交變相移及衰減相移之光罩類型,以及各種混合光罩類型。可程式化鏡面陣列之一實例使用小鏡面之矩陣配置,該等小鏡面中每一者可個別地傾斜,以便使入射輻射光束在不同方向上反射。傾斜鏡面在由鏡面矩陣反射之輻射光束中賦予圖案。
類似於照明系統IL,投影系統PS可包括適於所使用之曝光輻射或適於諸如真空之使用之其他因素的各種類型之光學組件,諸如,折射、反射、磁性、電磁、靜電或其他類型之光學組件,或其任何組合。可需要將真空用於EUV輻射,此係由於其他氣體可吸收過多輻射。因此,可憑藉真空壁及真空泵而將真空環境提供至整個光束路徑。
如此處所描繪,微影裝置100屬於反射類型(例如,使用反射光罩)。
微影裝置100可屬於具有兩個(雙載物台)或多於兩個基板台WT (及/或兩個或多於兩個支撐結構MT)之類型。在此「多載物台」微影裝置中,可並行地使用額外基板台WT (及/或額外支撐結構MT),或可在一或多個基板台WT (及/或一或多個支撐結構MT)上進行預備步驟,同時將一或多個其他基板台WT (及/或一或多個其他支撐結構MT)用於曝光。
參看圖1,照明系統IL自源收集器模組SO接收極紫外線輻射光束。用以產生EUV光之方法包括但未必限於運用在EUV範圍內之一或多個發射譜線而將具有至少一種元素(例如,氙、鋰或錫)之材料轉換成電漿狀態。在一種此類方法(常常被稱為雷射產生電漿「LPP」)中,可藉由運用雷射光束來輻照燃料(諸如,具有所需譜線發射元素之材料小滴、串流或叢集)而產生所需電漿。源收集器模組SO可為包括雷射(圖1中未繪示)之EUV輻射系統之部分,該雷射用於提供激發燃料之雷射光束。所得電漿發射輸出輻射,例如,EUV輻射,該輻射係使用安置於源收集器模組中之輻射收集器予以收集。舉例而言,當使用CO2
雷射以提供用於燃料激發之雷射光束時,雷射與源收集器模組SO可為分離實體。
在此等狀況下,不認為雷射形成微影裝置100之部分,且輻射光束B係憑藉包含(例如)合適導向鏡面及/或光束擴展器之光束遞送系統而自雷射傳遞至源收集器模組SO。在其他狀況下,例如,當源為放電產生電漿EUV產生器(常常被稱為DPP源)時,該源可為源收集器模組SO之整體部分。
照明系統IL可包含用於調整輻射光束之角強度分佈之調整器。通常,可調整照明系統IL之光瞳平面中之強度分佈的至少外部徑向範圍及/或內部徑向範圍(通常分別被稱作σ外部及σ內部)。另外,照明系統IL可包含各種其他組件,諸如琢面化場鏡面器件及琢面化光瞳鏡面器件。照明系統IL可用以調節輻射光束B,以在其橫截面中具有所要均一性及強度分佈。
輻射光束B入射於圖案化器件(例如,光罩) MA上,且由圖案化器件MA圖案化,該圖案化器件MA經固持在支撐結構(例如,光罩台) MT上。在自圖案化器件(例如,光罩) MA反射之後,輻射光束B傳遞通過投影系統PS,投影系統PS將輻射光束B聚焦至基板W之目標部分C上。憑藉第二定位器PW及位置感測器PS2 (例如,干涉量測器件、線性編碼器或電容性感測器),可準確地移動基板台WT,例如,以便使不同目標部分C定位於輻射光束B之路徑中。類似地,第一定位器PM及另一位置感測器PS1可用於相對於輻射光束B之路徑準確地定位圖案化器件(例如,光罩) MA。可使用光罩對準標記M1、M2及基板對準標記P1、P2來對準圖案化器件(例如,光罩) MA及基板W。
圖2較詳細地展示微影裝置100,其包括源收集器模組SO、照明系統IL及投影系統PS。EUV輻射發射電漿210可由電漿源形成。可由氣體或蒸氣(例如,Xe氣體、Li蒸氣或Sn蒸氣)產生EUV輻射,其中產生輻射發射電漿210以發射在電磁光譜之EUV範圍內之輻射。在一實施例中,提供經激發錫(Sn)電漿以產生EUV輻射。
由輻射發射電漿210發射之輻射自源腔室211傳遞至收集器腔室212中。
收集器腔室212可包括輻射收集器CO。橫穿輻射收集器CO之輻射可聚焦於虛擬源點IF中。虛擬源點IF通常被稱作中間焦點,且源收集器模組SO經配置成使得虛擬源點IF位於圍封結構220中之開口221處或附近。虛擬源點IF為輻射發射電漿210之影像。
隨後,輻射橫穿照明系統IL,照明系統IL可包括琢面化場鏡面器件22及琢面化光瞳鏡面器件24,琢面化場鏡面器件22及琢面化光瞳鏡面器件24經配置以提供在圖案化器件MA處的未經圖案化光束21之所要角度分佈,以及在圖案化器件MA處的輻射強度之所要均一性。在由支撐結構MT固持之圖案化器件MA處的未經圖案化光束21之反射後,就形成經圖案化光束26,且由投影系統PS將經圖案化光束26經由反射元件28、30而成像至由基板台WT固持之基板W上。
通常,比所展示元件多之元件可存在於照明系統IL及投影系統PS中。另外,可存在相較於諸圖所展示之鏡面更多的鏡面,(例如)在投影系統PS中可存在比圖2中所展示之反射元件多1至6個之額外反射元件。
替代地,源收集器模組SO可為LPP輻射系統之部分。
如圖1中所描繪,在一實施例中,微影裝置100包含照明系統IL及投影系統PS。照明系統IL經組態以發射輻射光束B。投影系統PS係藉由介入空間而與基板台WT分離。投影系統PS經組態以將賦予至輻射光束B之圖案投影至基板W上。該圖案係用於輻射光束B之EUV輻射。
可至少部分抽空介入於投影系統PS與基板台WT之間的空間。可在投影系統PS之部位處由固體表面定界介入空間,所使用輻射係自該固體表面經導向朝向基板台WT。
在一實施例中,微影裝置100包含動態氣鎖。動態氣鎖包含膜總成80。在一實施例中,動態氣鎖包含由位於介入空間中之膜總成80覆蓋的中空部分。中空部分位於輻射之路徑周圍。在一實施例中,微影裝置100包含鼓風機,鼓風機經組態以運用氣流沖洗中空部分之內部。輻射行進通過膜總成,之後照射於基板W上。
在一實施例中,微影裝置100包含膜總成80。如上文所解釋,在一實施例中,膜總成80係用於動態氣鎖。在此狀況下,膜總成80充當用於對EUV輻射進行濾光之濾光器。另外或替代地,在一實施例中,膜總成80為用於EUV微影之圖案化器件MA之護膜。本發明之膜總成80可用於動態氣鎖或用於護膜或用於另一目的,諸如光譜純度濾光器(spectral purity filter)。在一實施例中,膜總成80包含膜40,該膜亦可稱作膜堆疊。在一實施例中,該膜經組態以透射至少80%的入射EUV輻射。
在一實施例中,膜總成80經組態以密封圖案化器件MA以保護圖案化器件MA免受空浮粒子及其他形式之污染影響。圖案化器件MA之表面上之污染可造成基板W上之製造缺陷。舉例而言,在一實施例中,護膜經組態以縮減粒子可能遷移至微影裝置100中之圖案化器件MA之步進場中之可能性。
若圖案化器件MA未受保護,則污染可需要清潔或捨棄圖案化器件MA。清潔圖案化器件MA會中斷寶貴的製造時間,且捨棄圖案化器件MA係成本高的。替換圖案化器件MA亦會中斷寶貴的製造時間。
入射於膜40上之EUV將使加熱施加至膜40。加熱將造成膜40之溫度上升。在當前EUV源中,膜之溫度通常達到約800℃至900℃。未來EUV源可具有較高功率且施加較高熱負荷。若膜40之溫度上升過多,則膜40之效能或壽命可縮減。膜40甚至可完全失效。
用於膜40之各個層中之材料可需要實現各種功能性要求,諸如:a)具有發射率層以增加熱負荷電阻;b)具有外部罩蓋層(OLT)以保護膜免於惡劣的EUV掃描器環境影響且增加其壽命;c)具有障壁層以防止此等層中之任一者之擴散/互混,等等。
因此,需要設計具有極低EUV反射率(例如,EUVR < 0.05%)之穩固膜40,同時滿足所有其他功能性要求且允許大製程窗以用於充足可製造性產量。
用於一些現有膜中之材料具有良好機械屬性及EUV透射率,但具有紅外線中之相對低發射率。低發射率限制由此類材料製成之膜熱輻射之速率。若不添加另外層,則膜將在使用期間變得過熱且失效。具有高發射率之罩蓋層已經添加至此類膜以增加發射率及改良效能。然而,此類罩蓋層可不當增加EUV反射率。舉例而言,諸如Ru、Mo、Zr及Nb之金屬具有良好發射率但具有相對高EUV反射率。另外,已發現罩蓋層可在使用期間劣化。舉例而言,若不保護諸如Ru、Mo、Zr及Nb之金屬,則其將在使用期間氧化。光離子蝕刻可造成諸如SiN及SiO2
之材料之劣化,該等材料在高溫下另外地相對穩定。
圖3描繪經發現提供屬性之經改良平衡之膜40。在此實施例中,膜40包含具有按以下次序之層之堆疊:第一罩蓋層70、基層60及第二罩蓋層80。第一罩蓋層70包含第一金屬之氧化物。基層60包含化合物,該化合物包含第二金屬及額外元素。該額外元素係選自由以下各者組成之群組:Si、B、C及N。第二罩蓋層80包含第三金屬之氧化物。第一金屬不同於第二金屬。第三金屬與第一金屬相同或第三金屬不同於第一金屬。在一實施例中,第一罩蓋層70及第二罩蓋層80中之任一者或兩者形成膜40之外表面。在圖之定向中,膜40具有上部外表面(面對上方)及下部外表面(面對下方)。第一罩蓋層70形成上部外表面。第二罩蓋層80形成下部外表面。
在一實施例中,基層60具有高紅外線發射率。舉例而言,在發射率在0 (最小值)與1 (最大值)之間變化之情況下,發射率可大於0.2,視情況大於0.3,視情況大於0.4。因此,基層60有效地將熱輻射掉且防止膜40過度加熱。第一罩蓋層70及第二罩蓋層80保護基層60免於(例如)歸因於入射EUV輻射及/或氧化環境條件之劣化。在一實施例中,基層60比第一罩蓋層70及第二罩蓋層80中之每一者厚,視情況至少五倍厚,視情況至少10倍厚。在一實施例中,基層60具有至少8奈米、視情況至少10奈米、視情況至少15奈米、視情況至少20奈米、視情況至少25奈米之厚度。在一實施例中,第一罩蓋層70及第二罩蓋層80中之每一者具有小於5奈米、視情況小於4奈米、視情況小於3奈米、視情況小於2奈米、視情況小於1奈米之厚度。在一實施例中,膜包含膜基層(例如多晶矽層)及障壁層(例如,SiN層),在該等障壁層上添加發射率層及保護性罩蓋層OLT。此膜之EUV反射率(EUVR)可藉由使用最佳匹配發射率及OLT罩蓋層且利用破壞性干涉而加以最小化。舉例而言,若EUV輻射具有13.5奈米之波長,則有可能針對障壁層使用「四分之一λ」厚度:13.5奈米/ 4≈ 3.3奈米,針對發射率層使用「二分之一λ」厚度:13.5奈米/2 ≈ 6.7奈米,且將發射率層及OLT罩蓋層之折射率與障壁層之折射率匹配,例如,針對障壁層,n_SiN
= 0.97;針對OLT罩蓋層,n_OLT
≈ 0.97;且針對發射率層,n_em = n_SiN 2
≈ 0.94。
本文中之表1展示一系列最佳參數及材料以及其在膜40中之功能,該膜40具有圍繞膜核心層(其為基層)之對稱包夾組態。下表中之SiO2
為在與SiN障壁層接觸之多晶矽核心層之頂部上自然地形成之薄的原生氧化層。厚度值係以奈米為單位而給出。表 1
此膜設計可最大化用於罩蓋層及膜基層材料之製程窗。此特定設計允許用於Si基層及SiN障壁層之非常大製程窗。
儘管上文提及特定EUV波長(13.5奈米)及膜材料以舉例說明多晶矽膜基層與任何另外功能層之最佳匹配,但此意味著本文中包括實現針對給定EUV波長之以上準則之材料及層的任何組合。
在一實施例中,基層60之厚度經選擇以在自第一罩蓋層70之EUV反射與自第二罩蓋層80之EUV反射之間達成破壞性干涉。破壞性干涉合乎需要地縮減膜40之總反射率。在一實施例中,基層60之厚度為9奈米+/- 2奈米,較佳地為+/- 1奈米,已發現該厚度造成第一罩蓋層70與第二罩蓋層80之間的分離變得如此從而造成破壞性干涉。在一實施例中,基層60之厚度為16奈米+/- 2奈米,較佳地為+/- 1奈米,已發現該厚度造成第一罩蓋層70與第二罩蓋層80之間的分離以便造成破壞性干涉。已發現增強自第一罩蓋層70之EUV反射與自第二罩蓋層80之EUV反射之間的破壞性干涉之此等實施例在第一金屬及第三金屬中之任一者或兩者包含Zr之狀況下尤其有效。
在一實施例中,第一金屬之氧化物及第三金屬之氧化物中之任一者或兩者為氧傳導氧化物。氧傳導氧化物為允許將氧輸送通過氧化物之晶格之氧化物。氧傳導氧化物很好地適合於第一罩蓋層及第二罩蓋層,此係因為氧傳導氧化物在氧化環境中尤其穩定。已知氧傳導氧化物具有極佳穩固性且係在高溫下用於催化。氧傳導氧化物在氧含量變化之環境中很好地維持其屬性,此係因為其可針對氧充當緩衝劑。氧傳導氧化物能夠回應於環境之氧含量之改變而吸收及釋放氧。EUV輻射將經常增加保護層中之空位及其他缺陷之數目。存在氧傳導氧化物,例如,ZrO2
,其即使在晶格氧不足之高位準下係穩定的。
在一實施例中,第一金屬及第三金屬中之任一者或兩者係選自由以下各者組成之群組:Nb、Zr、Ce、Ti、La、Y及Al,其分別形成(例如) NbO2
、ZrO2
、CeO2
、TiO2
、La2
O3
、Y2
O3
。視情況,第一金屬及第三金屬中之任一者或兩者係選自由以下各者組成之群組:Zr及Y。已發現Zr之氧化物尤其有效。亦已發現Y之氧化物尤其有效。可摻雜氧化物中之任一者。舉例而言,在使用Zr之氧化物之情況下,氧化物可摻雜有氧化釔(Y2
O3
)以形成氧化釔穩定的氧化鋯。可使用不同氧化物之任何混合物。
在一實施例中,在基層中,包含第二金屬及額外元素之化合物由第二金屬及額外元素構成(亦即,化合物中不存在其他元素,視情況除摻雜劑之外)。
在一實施例中,在基層60之化合物中,該第二金屬為Mo且該額外元素為Si,例如作為MoSi2
及/或任何其他穩定化學計量。在一實施例中,化合物由Mo及Si構成。
在一實施例中,在基層60之化合物中,該第二金屬為Ru且該額外元素為Si,例如作為RuSi2
及/或RuSi及/或任何其他穩定化學計量。在一實施例中,該化合物由Ru及Si構成。
在一實施例中,在基層60之化合物中,該第二金屬為為Zr且該額外元素為Si,例如作為ZrSi2
及/或任何其他穩定化學計量。在一實施例中,該化合物由Zr及Si構成。
在一實施例中,在基層60之化合物中,該第二金屬為La且該額外元素為Si,例如作為LaSi2
及/或任何其他穩定化學計量。在一實施例中,該化合物由La及Si構成。
在一實施例中,在基層60之化合物中,該第二金屬為Sc且該額外元素為Si,例如作為ScSi2
及/或任何其他穩定化學計量。在一實施例中,該化合物由Sc及Si構成。
在一實施例中,在基層60之化合物中,該第二金屬為Y且該額外元素為Si,例如作為YSi2
及/或任何其他穩定化學計量。在一實施例中,該化合物由Y及Si構成。
在一實施例中,在基層60之化合物中,該第二金屬為Nb且該額外元素為Si,例如作為NbSi2
及/或任何其他穩定化學計量。在一實施例中,該化合物由Nb及Si構成。
在一實施例中,在基層60之化合物中,該第二金屬為Mo且該額外元素為B,例如作為MoB2
及/或任何其他穩定化學計量。在一實施例中,該化合物由Mo及B構成。
在一實施例中,在基層60之化合物中,該第二金屬為Ru且該額外元素為B,例如作為RuB2
及/或任何其他穩定化學計量。在一實施例中,該化合物由Ru及B構成。
在一實施例中,在基層60之化合物中,該第二金屬為Zr且該額外元素為B,例如作為ZrB2
及/或任何其他穩定化學計量。在一實施例中,該化合物由Zr及B構成。
在一實施例中,在基層60之化合物中,該第二金屬為Nb且該額外元素為B,例如作為NbB2
及/或任何其他穩定化學計量。在一實施例中,該化合物由Nb及B構成。
在一實施例中,在基層60之化合物中,該第二金屬為Ti且該額外元素為B,例如作為TiB2
及/或任何其他穩定化學計量。在一實施例中,該化合物由Ti及B構成。
在一實施例中,在基層60之化合物中,該第二金屬為La且該額外元素為B,例如作為LaB6
及/或任何其他穩定化學計量。在一實施例中,該化合物由La及B構成。
在一實施例中,在基層60之化合物中,該第二金屬為Zr且該額外元素為C,例如作為ZrC及/或任何其他穩定化學計量。在一實施例中,該化合物由Zr及C構成。
本發明人已發現以下組合尤其有效:第一金屬為Zr,第二金屬為Mo,且額外元素為Si,且第三金屬為Zr。
第一金屬之氧化物及第三金屬之氧化物不限於單一金屬氧化物。在一實施例中,第一金屬之氧化物為包含第一金屬及一或多種另外金屬之混合金屬氧化物。在一實施例中,第三金屬之氧化物為包含第三金屬及一或多種另外金屬之混合金屬氧化物。在一實施例中,第一金屬之氧化物為包含第一金屬及一或多種另外金屬之混合金屬氧化物,且第三金屬之氧化物為包含第三金屬及一或多種另外金屬之混合金屬氧化物。
在圖3中所展示之類型之實施例中,基層60中之包含第二金屬及額外元素之化合物的至少一部分與第一罩蓋層70中之第一金屬之氧化物及第二罩蓋層80中之第三金屬之氧化物中的任一者或兩者接觸。此配置相對容易製造。可使用該配置,其中第一罩蓋層70中之第一金屬之氧化物及第二罩蓋層80中之第三金屬之氧化物在使用膜40之條件中提供對基層60之充分保護。圖4至圖6展示提供較複雜結構之實施例。
圖4描繪基層60包含複數個基層子層61至63之實施例。基層子層61至63中之至少一者包含化合物,該化合物包含第二金屬及額外元素。在所展示之實例中,基層60包含基層第一子層61、基層第二子層62及基層第三子層63。基層第二子層62係安置於基層第一子層61與基層第三子層63之間。基層第二子層62包含化合物,該化合物包含第二金屬及額外元素。基層第一子層61包含額外元素之氧化物。基層第三子層63包含額外元素之氧化物。
在一實施例中,如圖4中所展示,基層第一子層61之至少一部分與第一罩蓋層70中之第一金屬之氧化物接觸。在一實施例中,如圖4中所展示,基層第三子層63之至少一部分與第二罩蓋層80中之第三金屬之氧化物接觸。
在一實施例中,基層第一子層61及基層第三子層63在使用期間保護基層第二子層62免於損壞。基層第一子層61及基層第三子層63可充當(例如)抗氧化擴散障壁層。在額外元素為Si之狀況下,基層第一子層61及基層第三子層63中之任一者或兩者可包含二氧化矽(SiO2
)。基層第一子層61及基層第三子層63可被稱作氧化皮(scale)。此等氧化皮可自然地形成在基層第二子層62上(亦即,藉由在氧化環境中在熱力學上有利)。在此狀況下,氧化皮可被稱作原生氧化皮或原生氧化物。
第一罩蓋層70及第二罩蓋層80保護基層第一子層61及基層第三子層63,例如,從而阻止藉由EUV光子蝕刻此等子層或縮減藉由EUV光子蝕刻此等子層之速率。
在第二金屬為Mo或Ru且額外元素為Si之狀況下,形成二氧化矽之氧化皮尤其在熱力學上係有利的。由此形成之氧化皮在高溫下係密集且穩定的。
可基於以下兩個反應之熱來判斷矽化物在氧化後即形成保護性二氧化矽氧化皮之能力:
反應1:MSi2
+ O2
→ Mx
Siy
+ SiO2
反應2:nMSi2
+ (4n+k)O2
→ Mn
Ok
+ 2nSiO2
反應1產生二氧化矽氧化皮及矽化物。反應2產生兩個氧化物之混合:金屬氧化物及二氧化矽。後一情形係不當的,此係因為在此狀況下未形成保護性氧化皮。可藉由使用運用類似於以下給出之公式之公式計算的兩個反應之熱來評估兩個反應之熱力學機率:
按照氧原子之數目計算且歸一化在298K下之反應1之焓。藉由比較反應1之焓與反應2之焓,有可能判斷哪一反應在熱力學上較有利。不考慮焓之溫度相關性。此簡化已由Nicolet等人之Solid State Electronics, 1978年, 21, 667-675展示為合理的。
以下表2中給出針對不同矽化物之反應1及反應2之焓的比較:表 2
當反應2之ΔH298 r
比反應1之ΔH298 r
之負值較小時,在熱力學上有利於保護性二氧化矽氧化皮形成。該表展示可最有利地形成二氧化矽氧化皮之矽化物為RuSi2
及MoSi2
。此外,本發明人已藉由分析氧化之動力學發現,MoSi2
具有最高活化能且因此最難以氧化(有利於形成穩定保護層而非氧化MoSi2
自身)。
圖5描繪第一罩蓋層70包含第一罩蓋層第一子層71及第一罩蓋層第二子層72之實施例。第一罩蓋層第一子層71包含第一金屬之氧化物。第一罩蓋層第二子層72包含第一罩蓋層沈積氧化物。第一罩蓋層第二子層72定位於第一罩蓋層第一子層71與基層60之間。第一罩蓋層沈積氧化物可沈積於基層60上以提供類似於將由諸如形成於化合物上之二氧化矽之原生氧化物提供的保護之保護,該化合物在基層60中包含第二金屬及額外元素(如在上文參看圖4所論述之實施例中之基層第一子層61及基層第三子層63中)。第一罩蓋層沈積氧化物可在原生氧化物不輕易地或穩定地形成(例如,在高溫下)於包含第二金屬及額外元素之化合物上的情況下予以提供。在一實施例中,原生氧化物可具有(例如)低熔點,其可在使用中造成不穩定性或失效。在此狀況下,可在沈積第一罩蓋層沈積氧化物之前移除原生氧化物。在一實施例中,第一罩蓋層沈積氧化物包含矽之氧化物(例如,二氧化矽)。
在一實施例中,第二罩蓋層80以對應方式組態。在此實施例中,第二罩蓋層80包含第二罩蓋層第一子層81及第二罩蓋層第二子層82。第二罩蓋層第一子層81包含第三金屬之氧化物。第二罩蓋層第二子層82包含第二罩蓋層沈積氧化物。第二罩蓋層第二子層82定位於第二罩蓋層第一子層81與基層60之間。在一實施例中,第二罩蓋層沈積氧化物包含矽之氧化物(例如,二氧化矽)。
圖6描繪對應於圖4及圖5之實施例之組合的膜40。在此膜40中,基層60包含基層第一子層61、基層第二子層62及基層第三子層63,如上文參看圖4所描述。另外,膜40包含具有第一罩蓋層第一子層71及第一罩蓋層第二子層72之第一罩蓋層70,如上文參看圖5所描述。另外,膜40包含具有第二罩蓋層第一子層81及第二罩蓋層第二子層82之第二罩蓋層80,如上文參看圖5所描述。相對於圖4及圖5之實施例,額外層可藉由提供對包含化合物之基層60之部分(基層第二子層62中之基層60之發射部分)的經改良保護而增加膜40之穩固性,該化合物包含第二金屬及額外元素。
在圖3至圖6中,展示具有第一罩蓋層70及第二罩蓋層80之實施例。然而,此等層並非必要的。在其他實施例中,如(例如)圖7及圖8中所展示,可省去第一罩蓋層70及第二罩蓋層80。可較容易地製造此類型之膜40。已發現,此類膜40可有效地操作,至少針對有限EUV功率。
圖7描繪包含膜層50之膜40。膜層50包含化合物,該化合物包含金屬及額外元素。在圖7之實施例中,膜40之兩個外表面之至少部分係由膜層50中之化合物形成。膜層50中之化合物可具有上文所描述之用於在圖3至圖6之實施例中包含第二金屬及額外元素之化合物的組合物中之任一者。膜層50之厚度可為上文所描述之用於圖3至圖6之實施例中的基層60之厚度中之任一者。
圖8描繪包含膜層50之膜40。膜層50包含化合物,該化合物包含金屬及額外元素。在圖8之實施例中,膜40之兩個外表面之至少部分係由膜層50中之化合物中之額外元素的氧化物形成。膜層50中之化合物可具有上文所描述之用於在圖3至圖6之實施例中包含第二金屬及額外元素之化合物的組合物中之任一者。膜層50包含膜層第一子層51、膜層第二子層52及膜層第三子層53。膜層第一子層51可具有上文所描述之用於圖4及圖6之實施例中的基層第一子層61之組合物中之任一者。膜層第二子層52可具有上文所描述之用於圖4及圖6之實施例中的基層第二子層62之組合物中之任一者。膜層第三子層53可具有上文所描述之用於圖4及圖6之實施例中的基層第三子層63之組合物中之任一者。膜層第一子層51及膜層第三子層53藉此在使用期間保護膜層第二子層52免於損壞。膜層50 (包括膜層子層51至53中之所有)之厚度可為上文所描述之用於圖3至圖6之實施例中的基層60之厚度中之任一者。
視情況,可提供一或多個另外層以進一步保護膜40。舉例而言,可在基層60 (具有或不具有任何介入層)上方及下方提供Al2
O3
之薄層(1奈米或更小)。
已發現,歸因於保護性二氧化矽氧化皮之在熱力學上之有利形成(在圖8之配置中形成膜層第一子層51及膜層第三子層53),圖7及圖8中所展示之類型之膜40在金屬為Mo或Ru且額外元素為Si之情況下尤其有效。
在所有上文所描述之實施例中,已發現,當提供高發射率之層(基層60或膜層50)包含化合物(其包含Mo及Si或Ru及Si),尤其為由Mo及Si或Ru及Si構成之化合物時,膜40表現得尤其很好。保護性二氧化矽氧化皮之形成確保針對歸因於氧化或光子蝕刻之損壞之高度穩固性。另外,已發現,此等化合物之熱機械屬性尤其很好地適用於EUV中。下文詳細地論述MoSi2
之熱機械屬性。預期類似考慮適用於RuSi2
。
在機械上,MoSi2
(及所預期之RuSi2
)類似於SiN。然而,可使MoSi2
比SiN厚。基於MoSi2
之膜40可藉此比基於SiN之對應膜的強度大,同時仍具有在13.5奈米下之高EUV透明度。此外,MoSi2
及RuSi2
固有地具有高發射率且將不需要額外金屬罩蓋層(如上文所論述,其傾向於增加EUV反射)。已經發現自MoSi2
之EUV反射小於0.1%。
圖9 (以橢圓)展示各種材料之大致屈服強度及斷裂韌度。表示MoSi2
之橢圓位於曲線圖之中心附近。使用用於塊體MoSi2
之數字繪製橢圓。對於薄膜,該等數字將為較有利的。用於EUV中之膜40 (例如,護膜)需要具有至少0.1 GPa之屈服強度(亦即,在經標記為MIN之虛線右邊)。pSi護膜及SiN護膜之屈服強度運用圖之下部部分中之虛線標記。MoSi2
具有明顯地大於所需最小值之屈服強度。此外,MoSi2
(相較於(例如) pSi之斷裂韌度)具有相對高斷裂韌度。高斷裂韌度意謂可使MoSi2
更薄,從而改良EUV透射率。
此外,延脆性傳輸溫度(ductile to brittle transmission temperature,DBTT)針對MoSi2
係高於1000℃。此高於膜40有可能在正常使用期間達到的最高溫度TMAX
(下文在圖10中標記)。相比於具有低於TMAX
之DBTT之材料(例如pSi,如圖10中之經標註為pSi DBTT之虛線所展示),基於MoSi2
之膜40因此不大可能經歷明顯蠕變及張力之對應損失,使得材料可在使用中熱循環經過DBTT。
圖10中展示屈服強度之溫度相關性。與(例如) pSi及純Mo相比,MoSi2
之屈服強度保持穩定,且直至1500 °K均保持在最小值0.1 GPa以上。
圖11至圖13展示研究具有不同厚度之MoSi在用於與在使用期間輻射掉熱相關之波長的範圍內之吸收率(對應於發射率)之實驗及理論工作的結果。該吸收率(發射率)可自可以實驗方式量測或理論上予以估計之透射率及反射率導出。圖11展示透射率在波長之範圍內之變化。圖12展示反射率在波長之範圍內之變化。圖13展示吸收率(發射率)在波長之範圍內之變化。在每一曲線圖中,提供經標註為301、302、303及304且經展示為虛線之四個理論上予以判定的曲線。另外,提供經標註為311、312及313且經展示為實線之三個以實驗方式予以判定之曲線。每一圖中之曲線301展示SiN之25奈米厚之層(其未對發射率做出明顯貢獻)的一側上之MoSi2
之3.5奈米層的理論結果。每一圖中之曲線302展示SiN之25奈米厚之層的兩側上之MoSi2
之3.5奈米層的理論結果。每一圖中之曲線303展示pSi之50奈米厚之層(其未對發射率做出明顯貢獻)的兩側上之MoSi2
之3.5奈米層的理論結果。每一圖中之曲線304展示MoSi2
自身之31奈米厚之層的理論結果。每一圖中之曲線311展示SiN上之MoSi2
之3.5奈米厚的層之實驗結果。每一圖中之曲線312展示SiN層之兩側上之3.5奈米厚的層之實驗結果。每一圖中之曲線313展示pSi層之兩側上之MoSi2
的3.5奈米厚之層的實驗結果。
圖11至圖13展示,即使對於MoSi2
之薄層,亦可達成約0.2且更高之吸收率(發射率)。對於MoSi2
之較厚層,可達成高於0.4之吸收率。預期應在包含在10奈米至50奈米範圍內之MoSi2
之層的膜40中達成高於0.4之吸收率(發射率)。甚至針對MoSi2
之極薄層達成之高經觀測發射率表明,膜40之發射率即使在MoSi2
在使用期間劣化且變薄之情況下可保持相對較高。因此,熱負荷可在相當大的時間段內保持在控制下。因此可延遲膜40之失效。
已經製造出具有1.5公分直徑及20奈米厚度之實例MoSi2
膜40。圖14展示如何發現實例膜40之透射在膜40之表面內變化。透射在84%與88%之間變化,其中最常見透射(曲線圖中之峰值)在約86.5%處。預期(例如)用以增加密度、降低氧化或兩者皆有之程序改良可進一步改良透射。膜40能夠耐受對應於大於經吸收之2瓦特/平方公分之EUV功率。EUV反射率低於Ru、Mo及Zr之反射率。EUV反射率亦低於0.05%之目標參考。
如上文參看圖3至圖8所論述,MoSi2
形成保護性二氧化矽氧化皮。實驗表明,此二氧化矽氧化皮在對應於經吸收之0.65瓦特/平方公分之EUV功率下係穩定的,但該二氧化矽氧化皮在對應於1.8瓦特/平方公分之EUV功率下開始由EUV光子蝕刻。下文參看圖15及圖16描述來自此等實驗之結果。
圖15及圖16展示依據用於經吸收之0.65瓦特/平方公分(圖15)之EUV波長及用於經吸收之1.8瓦特/平方公分(圖16)之EUV波長變化的透射%之經量測變化。在圖15之實驗中,發現透射在整個膜內粗略地均一。然而,在圖16之實驗中,在膜40 (由虛線展示)之中心區中發現顯著較高之透射率。亦發現中心區發紅光。此表明,MoSi2
係歸因於中心區中之保護性氧化皮之移除或損壞而被蝕刻掉。
圖15及圖16之實驗表明,圖8之實施例可用於較低EUV功率,而對於較高EUV功率,包含額外保護層(諸如上文參看圖3至圖6所描述之保護層)之實施例可為合乎需要的。
圖17至圖25描繪根據一實施例之用於製造膜40之實例程序流程。該程序流程不受特別限制。可視需要使用各種其他程序流程。
圖17描繪包含矽晶圓320之開始狀態。
矽晶圓320之熱氧化形成氧化層322,如圖18中所展示。
在後續步驟中,MoSi2
層324沈積至氧化層322上,如圖19中所展示。可使用任何合適之技術(例如,化學氣相沈積(CVD)、原子層沈積(ALD)或物理氣相沈積(PVD))執行該沈積。
在後續步驟中,正矽酸四乙酯(TEOS)氧化層326形成於MoSi2
層324上,如圖20中所展示。
在後續步驟中,在堆疊之背側上移除氧化層322,如圖21中所展示。
在後續步驟中,圍繞堆疊提供囊封層328,如圖22中所展示。囊封層328可包含電漿增強化學氣相沈積(PECVD)或低壓化學氣相沈積(LPCVD)沈積之Six
Ny
光罩(例如,Si3
N4
)。
後續步驟包含圖案化及乾式蝕刻囊封層328中之窗,如圖23中所展示。
在後續步驟中,應用KOH蝕刻以選擇性地移除背側上之矽晶圓320之一部分。
最後,應用濕式或乾式蝕刻以移除TEOS層326及氧化層324之至少部分以形成膜40。
在上文所描述之實施例中,具有高紅外線發射率之金屬氧化物材料(包含第二金屬及額外元素之化合物,例如MoSi2
)係用於膜40之基層60。可提供各種不同罩蓋層以在使用期間改良穩固性及可靠性。圖26至圖28說明製造程序中用於產生具有高紅外線發射率之替代膜40的實例步驟。替代膜在基層60內未必使用第二金屬及額外元素。諸如多晶矽之標準材料可用於基層60。高紅外線發射率係由除基層60之外亦予以提供之發射率層(具有高紅外線發射率之層)提供。可藉由調適發射率層之表面之拓樸結構以縮減損壞自發射率層之EUV反射而增加用以提供高發射率之材料之範圍。
該方法包含提供基層60。該方法進一步包含提供發射率層400。發射率層400具有高紅外線發射率。舉例而言,在發射率在0 (最小值)與1 (最大值)之間變化之情況下,發射率可大於0.2,視情況大於0.3,視情況大於0.4。發射率層400因此有效地輻射掉熱且防止膜40在使用中過度加熱。在一實施例中,發射率層400之發射率高於基層60之發射率。
在一實施例中,基層60包含多晶矽。
在一實施例中,發射率層400包含以下各者中之一或多者:Ru、Mo、Zr及Nb。已發現Ru提供尤其良好發射率(當提供在約1奈米至10奈米之厚度範圍的Ru時)。當在具有平滑表面之層中提供Ru及其他材料時,Ru及其他材料針對正入射EUV具有相對高反射率(對於Ru約為1%)。此高反射率不當地縮減對比度。
為了製作低EUV反射護膜,存在幾種主要策略,諸如使用本質上低EUV反射材料。另一策略為使用粗糙化界面及/或間層,如下文所描述。
藉由在不規則支撐表面上提供發射率層400來縮減用於接近正入射之反射率。不規則支撐表面可由平坦支撐層402或由基層60之表面形成(或由兩者形成,其中將基層60之表面中之不規則性傳送至平坦支撐層)。發射率層400具有使得不規則支撐表面之不規則性在發射率層400之與支撐表面相對之表面中產生對應不規則性的厚度。在具有不規則性之氧化皮相對小之狀況下,發射率層400之表面中之不規則性可經描述為表面粗糙度。對於30奈米之多晶矽上之4奈米Ru的平滑膜,本發明人已發現正入射EUV反射率R =約1.2%。相比之下,形成於由特性長度尺度1奈米之粗糙度界定之不規則支撐表面上的Ru之發射率層400具有R =約0.5%。在一實施例中,特性長度尺度經定義為表面拓樸結構之平均峰谷高度差。然而,峰值之間的平面內分離不應過高。通常,峰值之間的平均平面內分離小於約100奈米。形成於由特性長度尺度3奈米之粗糙度界定之不規則支撐表面上的Ru之發射率層400具有小於0.001%之R。在實施例中,發射率層400之表面中之不規則性具有至少2奈米,視情況至少3奈米之特性長度尺度。發射率層400之表面中之不規則性可具有明顯比3奈米長之長度尺度,甚至具有高達且超過EUV波長之長度尺度。此不規則表面可經描述為具有琢面之表面,該等琢面具有隨機方向及大小。該等琢面在隨機方向上重新導向零階(正入射)反射且將其重新導向出影像,藉此縮減或去除對於對比度之負面影響。該影響類似於粗糙度,即使該等琢面明顯大於通常與表面粗糙度相關聯之不規則性亦如此。
不規則支撐表面可以各種方式形成。在一個實施例中,基層60及形成於基層60上之平坦支撐層402中之任一者或兩者經處理以產生不規則支撐表面。圖26描繪此類型之方法之結果。在此實施例中,底部蝕刻矽晶圓320自底部向上支撐:下部罩蓋層401 (例如Si3
N4
)、多晶矽基層60、平坦支撐層402及發射率層400。在沈積平坦支撐層402之前將各向異性蝕刻應用於多晶矽基層60之上部表面。多晶矽之微晶大小經選擇使得各向異性蝕刻在具有所要不規則性之基層60中產生上部表面。接著在足夠薄使得基層60之上部表面之不規則性在平坦支撐層之上部表面中產生對應不規則性之層中提供平坦支撐層402,藉此形成用於發射率層400之所要不規則支撐表面。在足夠薄使得平坦支撐層402之上部表面中之不規則性在發射率層400之表面中產生對應不規則性之層中以類似方式提供發射率層400。平坦支撐層402及發射率層400可(例如)具有在約1奈米至約10奈米範圍內之厚度。
圖27及圖28描繪用於形成發射率層400之替代方法中之階段,其中在矽晶圓320與基層60之間提供額外層406。在一實施例中,額外層406包含諸如多晶矽之多晶材料。額外層406在沈積在平坦支撐層402 (例如,Si3
N4
)之上部表面上之前經處理以產生不規則上部表面。該處理可包含(例如)各向異性蝕刻。亦可(例如)包含諸如多晶矽之多晶層之基層60形成於平坦支撐層402上。在所展示之實施例中,上部罩蓋層407 (例如,Si3
N4
)形成於基層60上,藉此提供圖27中所展示之配置。隨後應用背側蝕刻以將矽晶圓320之一部分及額外層406之一部分移除直至平坦支撐層402 (其可充當蝕刻終止層)之底部表面。歸因於平坦支撐層402最初已形成於額外層406之不規則上部表面上,平坦支撐層402之下部表面為不規則支撐表面。發射率層400接著沈積於不規則支撐表面上以產生圖28之配置。在足夠薄使得不規則支撐表面中之不規則性在發射率層400之兩個表面中產生對應不規則性之層中提供發射率層400。
在圖28中所展示之類型之配置中,經提供至基層60與矽晶圓320之間的額外層406之不規則性(例如,粗糙度)亦可改良基層60與矽晶圓320之間的黏著性。
在不規則支撐表面係藉由多晶材料之各向異性蝕刻形成之實施例中,不規則支撐表面中之微晶面之相對於至膜的法線之平均角度係較佳地小於85%,視情況小於80%,視情況小於70%,視情況小於60%,視情況小於50%。此屬性可藉由多晶材料中之微晶大小及各向異性蝕刻中之蝕刻條件的合適選擇而達成。自90度之偏離將造成正入射輻射自待經導向遠離法線方向之微晶面之鏡面反射。對於上文所提及之小於90度之角度,已發現,將使大比例之鏡面反射被導向遠離法線,使得其將由成像裝置捕捉且將不因此對於對比度具有負面影響。
如圖29中示意性地描繪,通常使用矩形光罩410 (左邊)來界定支撐結構(例如,矽晶圓)之用以藉由蝕刻移除膜40且藉此釋放膜40之區而形成膜。所得膜40 (右邊)之獨立部分將與光罩410具有相同總體形狀。膜40之獨立部分之形狀係由獨立部分邊界線412界定。在矩形光罩410之狀況下,獨立部分邊界線412將包含具有90度隅角414 (在小角度範圍內)之四個筆直側。本發明人已發現,90度隅角414造成膜40之獨立部分中之明顯應力集中。該應力集中增加膜40之失效之可能性及/或縮短膜40壽命。該應力集中歸因於製造期間之膜40之大小的稍微改變而形成,此意謂膜40不再確切配適支撐框架(造成例如凸出)。
本發明人已發現,產生具有大於90度之內部角之隅角縮減或避免應力集中。藉此可改良膜40之可靠性及/或壽命。亦縮減隅角附近之光學干擾。
因此,在一實施例中,如(例如)圖30中所描繪,提供用於EUV微影之膜40。可(例如)使用光罩410 (左邊)來界定支撐結構之待蝕刻之區且接著蝕刻該經界定區而產生膜40。支撐結構可包含膜40之矽晶圓支撐層。蝕刻經界定區包含移除矽晶圓之一部分以釋放膜40。膜40之一部分變得獨立。膜40之獨立部分之形狀係由獨立部分邊界線412 (右邊)界定。獨立部分邊界線412包含複數個實質上筆直區段。在圖30中所展示之實例中,獨立部分邊界線412包含八個實質上筆直區段。每一對直接鄰近筆直區段之間的內部角416經配置以大於90度,視情況至少95度,視情況至少100度,視情況至少110度,視情況至少120度。僅僅針對圖30中之內部角度中之一者展示此,但可顯然看出內部角416中之所有均大於90度。180度減去內部角416所得之外部角418將小於90度。藉此縮減應力集中且改良膜可靠性及/或壽命。亦縮減膜40之隅角附近之(由應力集中造成之)光學干擾。
在各向異性蝕刻用於釋放膜40之情況下,蝕刻將沿結晶平面而行。此可意謂內部角416不以微觀尺度充分指示隅角之形態。然而,相比於在提供90度隅角之情況下,依據縮減應力集中,自由此實施例提供之一個筆直區段至另一筆直區段之轉移仍得以改良。隅角中之失去的護膜區域(相對於矩形狀況)不必極大(其可例如約為約10平方微米至100平方微米)。因此可容易地設計隅角之幾何形狀之改變從而不干涉EUV輻射將在使用中傳遞通過之膜40之區。
包含由多晶矽或化合物(包含第二金屬及額外元素(例如MoSi2
))形成之基底層60之膜40可形成保護基層免於過度氧化之原生氧化物(保護性氧化皮),例如SiO2
。然而,原生氧化物可在使用期間由EUV輻射蝕刻掉且最終停止充分保護基層60。本發明人已發現,包含硼矽酸玻璃之罩蓋層可提供對基層60之經改良保護。圖31展示膜40包含基層60之實例配置,該基層60由包含硼矽酸玻璃之罩蓋層420在每一側上加以保護。包含硼矽酸玻璃之罩蓋層420可組合廣泛多種基層60組合物及各種其他組合物(諸如MoC及其他碳化物)有益地加以使用,該廣泛多種基層60組合物包括多晶矽,該化合物包含第二金屬及額外元素。
可形成硼矽酸玻璃以代替基層60之原生氧化物。替代地,硼矽酸玻璃可藉由將基層之原生氧化物變換成硼矽酸玻璃而形成。替代地,可形成硼矽酸玻璃以覆蓋基層60之原生氧化物。
可用之多種硼矽酸玻璃組合物允許對罩蓋層420之屬性之合乎需要的調整。本發明人已發現,有可能(例如)藉由適當地選擇硼矽酸玻璃之組合物而相對於原生氧化物達成優良穩定性。
此項技術中已知沈積硼矽酸玻璃之薄層之CMOS相容方法(參見例如J. Leib O. Gyenge, U. Hansen, S. Maus, K. Hauck, I. Ndip, M. Toepper, Low Temperature Glass-Thin-Films for use in Power Applications, 2011 Electronic Components and Technology Conference, 978-1-61284-498-5/11)。該等方法據報告產生熱膨脹係數(CTE)極密切地匹配Si之CTE之塗層。在基層60包含多晶矽之情況下,相較於原生氧化物層(在CTE通常將匹配得較不佳之情況下),此匹配應在高功率EUV應用中產生優良機械屬性。此外,可在相關低溫下軟化之硼矽酸玻璃之調配物係可用的,從而縮減破裂形成之風險及保護性屬性之相關聯損失。
各種技術可用於沈積硼矽酸玻璃罩蓋層420。下文針對基層60起初在基層60之外表面上具備原生氧化物(例如,1.5奈米至2奈米層SiO2
)之狀況給出三個實例。
在第一實例方法中,剝除原生氧化物。此可(例如)藉由在HF中浸入膜40達成。硼矽酸玻璃之層(例如,若干奈米厚,例如2奈米厚)接著沈積於基層60上以形成罩蓋層420。電漿增強型電子束沈積可用於(例如)沈積硼矽酸玻璃。
在第二實例方法中,硼矽酸玻璃之層沈積於原生氧化物之頂部上。此配置提供針對氧化之經增強保護,但原生氧化物之存在將縮減EUV透射率。
在第三實例方法中,作為原生氧化物之SiO2
轉換為硼矽酸玻璃。此可(例如)藉由使SiO2
與B2
O3
及此項技術中已知用於此轉換之其他添加劑反應而達成。舉例而言,B2
O3
層可與Na2
O、Li2
O、P2
O5
或具有所要屬性之玻璃所需之任何化合物共同沈積於SiO2
上。高溫退火步驟可應用於整個變換。
在一替代實施例中,罩蓋層420包含Mo與至少一種其他金屬(諸如以下各者中之一或多者:Ta、Ti、Cr、Ni及Nb)之合金。此罩蓋層420可(例如)與包含多晶矽之基層60組合地使用。Mo具有紅外線中之合乎需要的高發射率,但即使在室溫下亦在空氣中經歷氧化且將在較高溫度下迅速地氧化。額外罩蓋層可經提供以保護Mo免於氧化,但此將增加膜40之複雜性。Mo與另一金屬之合金化在無需額外罩蓋層之情況下極大地縮減Mo之氧化。預期Mo與小於約5wt. %之另一金屬之合金化實質上增加Mo針對氧化之穩定性(參見例如,A. List, C. Mitterer, G. Mori, J. Winkler, N. Reinfried, W. Knabl, Oxidation of Sputtered Thin Films of Molybdenum Alloys as Ambient Conditions, 17th Plansee Seminar 2009,第1卷)。Mo與Ti之合金化及Mo與Ta之合金化提供針對氧化之尤其良好保護。因此,由此合金形成之罩蓋層420實際為提供高紅外線發射率之功能及保護免遭氧化之功能兩者的雙功能層。
在一替代實施例中,膜40具備包含以下各者中之一或多者之基層60:YSi2
、ZrSi2
、LaSi2
及NbSi2
。相比於MoSi2
,此等四種材料中之每一者對於EUV透明得多。YSi2
及ZrSi2
尤其有效,其提供為MoSi2
之EUV透光度高達兩倍之EUV透明度。四種材料之發射率及熱機械屬性類似於MoSi2
。高發射率意謂不需要額外發射金屬層。熱機械屬性意謂可使基層60比多晶矽替代物實質上薄,從而亦有助於促進高EUV透射率。由YSi2
、ZrSi2
、LaSi2
或NbSi2
形成之基層60針對氧化將不穩定,因此罩蓋層430可經提供以提供針對氧化之保護。
圖32中展示此類型之實例膜40。膜40包含YSi2
、ZrSi2
、LaSi2
及NbSi2
中之一或多者。提供罩蓋層430。在所展示之特定實例中,罩蓋層430經提供於基層60之上部表面及下部表面兩者上。
在所展示之實例中,罩蓋層430包含罩蓋層第一子層431及罩蓋層第二子層432。罩蓋層第一子層431與基層60接觸,且罩蓋層第二子層432定位於與基層60相對之罩蓋層第一子層431之一側上。在一實施例中,罩蓋層第一子層431包含MoSi2
或Si。各種材料可用於形成罩蓋層第二子層432。在一個實施例中,罩蓋層第二子層432包含B。
在一個詳細實例中,藉由提供包含YSi2
或ZrSi2
之厚度為20奈米至30奈米之基層60來製造膜60。在後續步驟中,將Si或MoSi2
之罩蓋層第一子層431 (2奈米至4奈米)施加至基層60 (以提供抗氧化障壁且允許堆疊針對典型製作程序流程條件為穩固的)。在後續步驟中,移除SiO2
原生氧化物以增加EUV透射率(例如,使用He/H電漿蝕刻)。移除SiO2
原生氧化物亦提供改良隨後待施加之罩蓋層第一子層431與罩蓋層第二子層432之間的結合之懸鍵。在後續步驟中,將罩蓋層第二子層432施加在罩蓋層第一子層431 (例如,B)上。罩蓋層第二子層432經原位施加且確保SiO2
原生氧化物不在罩蓋層第一子層431上重新形成。
在不存在防範措施之情況下,罩蓋層可在EUV曝光條件期間劣化。已觀測到(例如),氧化諸如Ru、Mo、B及Zr之金屬(及包括各別合金、硼化物、碳化物或其矽化物之組合)。氧化縮減EUV透射。歸因於金屬含量及對應導電性之縮減,氧化亦減小膜之發射率。歸因於含有反應性O空位之氧化物表面,化學穩定性可受損。罩蓋層厚度、組合物及粗糙度之任何改變亦將影響EUV反射率。本發明人已認識到,罩蓋層之抗氧化性及化學穩定性之重要因數為結晶微觀結構。許多典型罩蓋層,尤其是金屬罩蓋層,為多晶的。晶界造成作為氧化障壁之不佳效能,此係因為晶界為原子擴散提供路徑。歸因於晶界之不完美結構,晶界相比於晶粒內部亦較易受化學侵蝕影響。本發明之實施例藉由提供非晶罩蓋層來提供經改良效能。
在一實施例中,製造膜40之方法包含提供基層60 (或包含基層60及一或多個其他層之堆疊)及罩蓋層70。罩蓋層70為非晶罩蓋層。罩蓋層70提供膜40之外表面。基層60及罩蓋層70可採用(例如)圖3之一般組態。在此組態中,提供罩蓋層中之兩者(第一罩蓋層70及第二罩蓋層80)。在其他實施例中,可在膜40之僅一側上提供罩蓋層70 (例如,在用於保護圖案化器件之膜之狀況下,可在面對圖案化器件之膜40之側上提供罩蓋層70)。基層60及任何其他層之組合物及厚度可如以上實施例中之任一者中所描述或可使用其他組合物及厚度。提供非晶罩蓋層70之優點並不特別取決於膜40之其他層之性質。因此,該途徑可經應用以呈現膜設計及未來膜設計,包括例如包含多晶矽、SiN、MoSi2
及石墨烯之基層。
在一實施例中,罩蓋層70為金屬、在一實施例中,罩蓋層70包含合金。如下文將進一步詳細描述,合金之使用可抑制罩蓋層70中之結晶,藉此促進非晶狀態。在一實施例中,該合金包含以下各者中之一或多者:Ru、Mo、B、C、Cr、Ir、Nb、Pd、Pt、Re、Rh、Ti、V、Y,較佳地包含以下各者中之一或多者:B、C、Y。舉例而言,:1)Ru與Rh、Pd、Pt、Y、B、Ti、C及P中之一或多者;及2)Mo與B、Ta、Nb、Cr及Ru中之一或多者。
在一實施例中,該合金包含摻雜有B (例如,10%至20%之B)之Ru、摻雜有P之Ru,或Mo(1-x-y)
Rux
By
。相比於純Ru,此等材料對H、O及H2
O之穿透提供較高阻力。當曝光於EUV、H2
電漿時,穩定性增加,且亦達成熱負荷,其中在此等條件中保留有益的非晶結構。相對於純Ru,表面反應屬性得以改良,且相對於源自(例如)基板W上之含金屬抗蝕劑之金屬污染物具有較小電抗。因此,罩蓋層70之抗垢性得以改良。在一實施例中,提供罩蓋層內之漸變濃度分佈,其中摻雜劑(例如,B或P)之濃度在罩蓋層70之表面中之至少一者附近高於罩蓋層70內之較深位置處,藉此在無摻雜劑之過高總位準之情況下提供高抗垢性。
對由不存在晶界提供之氧化之經增加阻力隨時間推移將縮減EUV透射及EUV反射之變化。保存罩蓋層70之金屬性質將最小化發射率之改變,從而允許膜在高溫下繼續存在較長時間。
罩蓋層70之非晶特性亦針對材料之許多選擇產生反射率之縮減:相較於當罩蓋層70為多晶時,當罩蓋層70為非晶時,膜40之罩蓋層70與基層60之間的光學對比度通常較低。
下文描述用於製造具有非晶罩蓋層70之膜40之實例途徑。
在一實施例中,非晶罩蓋層70之形成包含同時沈積(例如,藉由共同濺鍍)至少兩種不同金屬以形成合金。不同類型金屬原子之不同大小干擾結晶程序,藉此促進產生非晶層。在共同沈積程序期間,可施加偏置以增加照射經形成層之表面之粒子的能量,從而變更相位形成。經施加偏置因此有助於罩蓋層70之非晶化。經施加偏置亦可適宜地用於調整罩蓋層70之取決於膜微觀結構之其他屬性(例如,應力、電阻率、黏著性)。
在一替代實施例中,非晶罩蓋層70之形成包含在存在第一氣體及第二氣體之情況下沈積非晶罩蓋層70,其中相較於在僅存在第一氣體之情況下所執行之相同沈積程序,第二氣體之存在抑制非晶罩蓋層70之結晶。在形成(例如,沈積)期間包括此第二氣體(諸如經添加至氬氣(Ar)之少量氮氣(N))可擾亂經沈積非晶罩蓋層70之結構,藉此促進形成非晶結構而非結晶結構。第二氣體(例如,氮氣)可縮減原子移動性及經沈積原子之活化能。第二氣體(例如,氮氣)可減緩結晶動力學,藉此穩定化非晶相。
在一替代實施例中,執行非晶罩蓋層70之形成,同時將基層60 (或包含基層60及其他層之堆疊)保持在室溫以下之溫度下以抑制結晶。因此,膜40可在沈積之前預先冷卻且在沈積程序期間積極地保持在低溫下(例如,使用水或氣體作為冷卻劑)。低溫遏止結晶晶粒生長且遏止相互擴散/間層形成。一旦完成沈積程序,原子移動且相互擴散所必需之能量增加。因此,加熱直至室溫不再足以起始相互擴散程序且罩蓋層70將保持處於穩定非晶相。
非晶罩蓋層70之非晶化程度可使用各種技術(包括例如原子力顯微法(AFM)、透射電子顯微法(TEM)及掃描電子顯微法(SEM)量測)予以量測及監視,因此有助於藉由程序控制改良產物之品質。
與提供上文所論述之非晶罩蓋層70相關聯之優點不僅適用於諸如護膜之膜。就(例如)對H、O、H2
O、EUV、H2
電漿、熱負荷等等之經增加阻力而言之優點亦適用於EUV鏡面或反射倍縮光罩。另外,預期摻雜B或P之Ru及/或Mo(1-x-y)
Rux
By
(例如)將具有優於純Ru或Mo之光學屬性(例如,較低EUV吸收率及較高反射率)。對於EUV鏡面,預期摻雜B或P之Ru及/或Mo(1-x-y)
Rux
By
縮減起泡以及提供用於任何ZrO2
外塗層之經改良下表面。關於金屬污染物(例如,Sn)之還原反應性甚至可使諸如ZrO2
之外塗層不必要。多層反射結構中之Mo層可由摻雜B或P之Ru及/或Mo(1-x-y)
Rux
By
替換,藉此提供每層較低粗糙度及較低EUV散射。
在一替代實施例中,提供具有基層60及罩蓋層70之用於EUV微影之膜40。罩蓋層包含sp3
碳之比例為至少20% (視情況至少50%、視情況至少75%、視情況實質上100%) (小於80% sp2
碳)的碳。罩蓋層70提供膜40之外表面。含有sp3
碳及sp2
碳之碳被稱為類金剛石碳。sp3
碳具有高EUVT,對H電漿之蝕刻具抗性且可耐受高溫。此外,sp3
碳不呈現與光學件壽命相關聯之問題之任何風險,此係因為可運用H電漿清潔自氣相沈積於表面上之sp2
碳。基層60及罩蓋層70可採用(例如)圖3之一般組態。在此組態中,提供罩蓋層中之兩者(第一罩蓋層70及第二罩蓋層80)。在其他實施例中,可在膜40之僅一側上提供罩蓋層70 (例如,在用於保護圖案化器件之膜之狀況下,可在面對圖案化器件之膜40之側上提供罩蓋層70)。基層60及任何其他層之組合物及厚度可如以上實施例中之任一者中所描述或可使用其他組合物及厚度。可在(例如)包含以下各者中之任何一或多者之基層60上提供罩蓋層70:MoSi2
、B/B4
C、pSi、ZrSi2
、石墨烯及其他者。
在一實施例中,罩蓋層70可用作(例如)用於含Si基層60之硼及ZrO2
之替代物。在另一實施例中,罩蓋層70與包含碳(例如,多層石墨烯或碳奈米管)之基層60組合地使用,藉此提供針對H電漿之有價值的阻力。在一實施例中,提供所有碳基層60及所有碳罩蓋層70允許整個膜60由單一化學元素形成,藉此縮減膜60污染膜60與有問題的元件一起使用之環境的風險。歸因於耐受較高溫度之能力,膜60優於僅有石墨烯之護膜,且歸因於罩蓋層70,膜60優於H電漿。在一實施例中,基層60及罩蓋層70兩者皆由碳形成,但基層60相比於罩蓋層70較不類似於金剛石(亦即,含有sp3
碳之較低比例)。因此,基層60可包含sp3
碳之一比例低於罩蓋層70之碳中之sp3
碳的比例之碳。相較於純sp2
碳,金剛石具有極低IR發射率,因此,對於純碳膜,將需要純sp2
碳組分(具有高比例之sp2
碳之組分)以提供足夠高IR發射率。
sp3
碳之比例對層之屬性具有強烈影響。純金剛石為純sp3
且具有極佳抗蝕刻性(藉由低能量H原子蝕刻金剛石要比藉由低能量H原子蝕刻石墨慢75倍至7000倍)。使用純金剛石來製造具有挑戰性,但相較於純sp2
碳,含有sp3
碳及sp2
碳兩者之類金剛石碳之層展示明顯改良的抗蝕刻性。
各種程序已知用於沈積類金剛石碳,包括(例如)電漿增強CVD、沈積、離子輔助沈積、濺鍍、陰極真空弧(CVA)、電漿沈積及脈衝雷射沈積(PLD)。沈積方法之變化將判定經達成之sp3
/sp2
比率。因此,可依據所要sp3
/sp2
比率變化而選擇沈積方法。超薄膜通常可達到約1之sp3
/sp2
比率(50% sp3
)。
在一替代實施例中,提供具有基層60及罩蓋層70之用於EUV微影之膜40,該罩蓋層70包含摻雜有第二金屬之第一金屬。罩蓋層70提供膜40之外表面。在一實施例中,第一金屬包含過渡金屬。在一實施例中,第二金屬包含鹼金屬或鹼土金屬。基層60及罩蓋層70可採用(例如)圖3之一般組態。在此組態中,提供罩蓋層中之兩者(第一罩蓋層70及第二罩蓋層80)。在其他實施例中,可在膜40之僅一側上提供罩蓋層70 (例如,在用於保護圖案化器件之膜之狀況下,可在面對圖案化器件之膜40之側上提供罩蓋層70)。基層60及任何其他層之組合物及厚度可如以上實施例中之任一者中所描述或可使用其他組合物及厚度。
使金屬(尤其是過渡金屬,尤其是Ru)與其他金屬(尤其是鹼或鹼土金屬,尤其是Sr或Ca)摻雜以各種方式提供經改良效能。
該摻雜可增加罩蓋層70之熱穩定性。鹼土金屬具有相對高蒸氣壓力且可因此縮減經摻雜材料之揮發性。舉例而言,在摻雜有Sr之Ru之狀況下,與Sr相關聯之較高蒸氣壓力意謂縮減Ru之揮發性。
該摻雜可藉由緩解罩蓋層70中之外延應變來增加罩蓋層70之均一性。
該摻雜可增加EUV透射。舉例而言,在摻雜有Sr之Ru之狀況下,會達成較高透明度,此係因為相比於Ru,Sr對於EUV明顯較透明。
該摻雜可增加EUV反射。舉例而言,在摻雜有Sr之Ru之狀況下,該Sr減小罩蓋層70與基層60之間的折射率之差。
鹼土金屬為穩定元素且可在相位隔離出現之前經摻雜至較高濃度(典型摻雜範圍約為1至10%)。此外,金屬Sr可有助於Ru之費米能階(Fermi level)以促進經增強導電性/發射率。
該摻雜可以各種方式(包括(例如)不同PVD技術)實施,且可因此有效地經整合至現有製造流程中。共同濺鍍Sr與Ru (來自單獨目標)將在使Ru摻雜有Sr之狀況下為合適的。替代地,Ru-目標可摻雜有Sr。CVD亦可與(例如)作為Sr之化學前驅體之Sr3
(CO)12
一起使用。
可(例如)藉由X射線光電子光譜X射線光電子光譜學(XPS)、次級離子質譜分析(SIMS)及拉塞福背向散射譜學(RBS)來量測摻雜之程度。在摻雜劑之較低濃度下,在量測變得較困難之情況下,已知在沈積期間對金屬通量之良好控制在膜中產生所要化學計量。
在一替代實施例中,提供具有基層60及罩蓋層70之用於EUV微影之膜40,該罩蓋層70包含M1x
M2y
Oz
,其中M1包含一或多種鹼金屬及/或一或多種鹼土金屬,且M2為過渡金屬,視情況為稀土金屬。罩蓋層70提供膜40之外表面。在一實施例中,罩蓋層70包含以下各者中之一或多者:SrRuO3
、SrVO3
、CaVO3
、La0.67
Sr0.33
MnO3
。基層60及罩蓋層70可採用(例如)圖3之一般組態。在此組態中,提供罩蓋層中之兩者(第一罩蓋層70及第二罩蓋層80)。在其他實施例中,可在膜40之僅一側上提供罩蓋層70 (例如,在用於保護圖案化器件之膜之狀況下,可在面對圖案化器件之膜40之側上提供罩蓋層70)。基層60及任何其他層之組合物及厚度可如以上實施例中之任一者中所描述或可使用其他組合物及厚度。
M1x
M2y
Oz
材料作為罩蓋層提供各種優點。該等材料格外穩定且為非揮發的。晶格常數之範圍之廣泛範圍為可用的,從而促進形成具有低應變或無應變之罩蓋層。可撓性帶結構允許容易地調整該等材料。藉由摻雜且施加不同應變,有可能轉變其費米能階電子密度,因此允許對其導電性及發射率之控制。
M1x
M2y
Oz
材料相較於其他金屬及合金具有相對高EUV透射。此外,其組合物及晶體結構之可撓性使得有可能調整光學屬性從而與膜中之其他層匹配,藉此縮減反射率。
可使用許多物理氣相沈積(PVD)技術(如RF濺鍍、分子束磊晶法(MBE)、電子束蒸發及脈衝式雷射切除(PLD))來沈積高品質M1x
M2y
Oz
材料層。此等技術中之一些如此高級,使得其可將膜厚度控制降至原子層,此對於用於EUV微影中之膜40尤其有利。
M1x
M2y
Oz
材料層之物理屬性(例如,導電性)可使用4探針PPMS技術予以量測。此等層在量測條件下通常為極穩定,因此任何平面內及平面外量測技術可用於量測其屬性。
已觀測到,各種有前景的基層材料(包括例如MoSi2
、ZrSi2
及其他矽化物,以及多層石墨烯)在形成為獨立膜時具有過高(在MoSi2
、ZrSi2
及其他矽化物之狀況下)或過低(在多層石墨烯之狀況下)應力。過高應力造成膜之過早失效。過低應力造成膜之不當起皺。本發明人咸信,應力之不當位準係由當沈積基層時支撐基層之支撐結構與基層自身之間的熱膨脹係數之失配造成。膜通常在製造期間經歷一或多個高溫步驟。舉例而言,可在高於700℃之溫度下實行退火以最佳化密度且防止膜在使用中退火及收縮。沈積技術可使用高溫。多層石墨烯之CVD可需要在高於(例如) 700℃之溫度下執行。在此等高溫下,基層鬆弛為其由厚得多之支撐結構約束之最低應力狀態。當隨後冷卻總成時,基層及支撐結構以取決於熱膨脹係數之失配之不同量收縮。若支撐結構具有較高熱膨脹係數,則其相比於基層將收縮較多,從而產生起皺基層。若支撐結構具有較低熱膨脹係數,則其相比於基層將收縮較少,從而在基層中產生高應力。
圖34及圖35描繪根據一實施例之方法中之步驟,其中以使得縮減與上文所論述之熱膨脹係數之失配相關聯的問題之此方式來製造膜40。該方法包含在支撐結構802上形成基層60以提供圖34中所展示之配置。接著在基層60下方之經選擇區804中蝕刻支撐結構802 (經選擇區804之邊界線係由虛線描繪)以形成包含基層60之獨立膜40,如圖35中所展示。膜40包含獨立部分,該獨立部分連接至由支撐結構802之剩餘部分支撐之非獨立部分(其可被稱作邊界)。程序流程之細節未在此處予以描述或未在圖34及圖35中予以展示,此係因為許多變化係可能的。可(例如)使用上文參看圖17至25所描述的程序流程之經適當調適版本。
藉由提供支撐結構802來達成膜40中之較有利應力,對於該支撐結構802,支撐結構802之熱膨脹係數與基層60之熱膨脹係數之間的差小於矽之熱膨脹係數與基層60之熱膨脹係數之間的差。因此,熱膨脹係數之失配小於在標準矽晶圓用作支撐結構802之情況下的熱膨脹係數之失配。在一實施例中,支撐結構802包含藍寶石。在一實施例中,支撐結構802包含矽酸鹽玻璃,例如鈉鈣玻璃。在一實施例中,支撐結構802包含石英。此等材料提供熱膨脹係數,該等熱膨脹係數提供與另外有問題的基層材料之良好匹配。在一實施例中,基層60包含MoSi2
、ZrSi2
、B及B4
C中之一或多者,且支撐結構802包含藍寶石。在一實施例中,基層60包含sp2
碳(例如,多層石墨烯)且支撐結構802包含石英。
在上文所描述之實施例中之任一者中,尤其在使用具有EUV中之相對高反射率之層(例如B)之情況下,基層60之厚度可經選擇以在自基層60之相對側上之界面反射的EUV之間達成破壞性干涉。藉此縮減總反射率。在一實施例中,基層60之厚度經選擇為以下各者中之一者:9奈米+/- 2奈米,較佳地為+/- 1奈米;16奈米+/- 2奈米,較佳地為+/- 1奈米;22+/- 2奈米,較佳地為+/- 1奈米;及29+/- 2奈米,較佳地為+/- 1奈米。已發現此等厚度中之每一者達成破壞性干涉。已發現厚度在基層60包含矽化物(尤其是YSi2
或ZrSi2
)之情況下且尤其在使用包含B之另外層(例如罩蓋層第二子層432)之情況下尤其有效。造成破壞性干涉之較高厚度亦為可能的,但增加厚度將不當地縮減EUV透射率。
在一實施例中,可將膜40應用為護膜或應用為動態氣鎖之部分。替代地,膜40可應用於諸如識別之其他濾光區域中,或應用於光束分光器。在一實施例中,動態氣鎖經組態以阻擋微影裝置100內之碎屑。在一實施例中,動態氣鎖定位於投影系統PS與基板W之間。動態氣鎖縮減粒子自基板W或自基板W附近到達投影系統PS中或周圍之光學組件的可能性。類似地,動態氣鎖可保護照明系統IL。在一替代實施例中,動態氣鎖定位於虛擬源點IF處。舉例而言,動態氣鎖可定位於源收集器模組SO與照明系統IL之間。
至少在動態氣鎖定位於投影系統PS與基板W之間之狀況下,已發現可歸因於來自自經曝光基板W排氣之物質/分子之污染而縮減膜40之壽命。在抗蝕劑含有金屬組分(諸如基於金屬之無機抗蝕劑)之情況下該問題尤其急切,如當前針對EUV應用所提議。來自此類抗蝕劑之經排氣物質可相對小且重(例如,錫烷)且因此難以僅使用氣流來抑制。在一實施例中,藉由至少在面對基板W之膜40之側上提供針對金屬污染物具有相對低親和性及/或高自清潔效率之罩蓋層來延長膜40之壽命。罩蓋層可包含(例如) ZrO2
,或以下各者中之一或多者之氧化物:Ti、Hf、Y、Nb、Sc、Ta、V及La。在一實施例中,亦提供包含(例如) H2
之沖洗氣流。預期,罩蓋層與沖洗氣流之組合將允許投影系統PS與基板W之間的動態氣鎖即使針對在EUV應用中之含有金屬之抗蝕劑亦具有大於六個月之壽命。
在一實施例中,可控制沖洗氣流以增強罩蓋層之自清潔。此可(例如)藉由增加膜40之基板側上之總流動速率及/或壓力來完成。可提供向上成角度的隙縫以改良朝向膜40之表面之流型。可控制該流動以最小化或避免膜40之表面處之流型中的死區。多個氣體入口可提供可撓性以避免動態氣鎖容積內之不當壓力梯度及/或提供考慮膜40之不對稱性之流型。
在一實施例中,可藉由在該流動中產生額外氫自由基及/或離子來改良沖洗氣流。此可(例如)使用熱金屬長絲(例如鎢)或微波電漿而達成。氫自由基及/或離子將增強有機污染及金屬污染兩者之清潔速率。
在一實施例中,定位在動態氣鎖之膜40附近之表面(例如漏斗結構之內表面)可經處理以增加吸氣,該動態氣鎖將由來自基板W之污染粒子碰到。此類表面可塗佈有(例如)Ru奈米層,該Ru奈米層將吸氣經排氣金屬物質(例如,Sn)。以此方式處理該等表面縮減到達膜40自身之材料之量且藉此改良膜壽命。
在一實施例中,膜40可在製造、運輸或使用期間變得被粒子污染。尤其在生產包含膜40及框架之護膜總成期間,該膜有可能變得被污染。然而,對於EUV微影,尤其需要此膜為無粒子的;否則認為膜受損,從而引起產量縮減及高成本。
因此,可需要清潔工具來自膜40之表面移除微粒污染。本文中,提議使用利用搖動膜40及/或額外氣壓差之清潔工具以在無使膜破裂之風險的情況下移除此微粒污染。移除經添加至膜40上之粒子將改良EUV微影裝置之成像效能,該EUV微影裝置使用圖案化器件,該圖案化器件具有經由可拆卸或經永久附接框架附接至其之膜40。已發現,當用經專門設計之輸送載體保護膜40時,膜40可在不破裂之情況下處置大衝擊力。藉由選擇負壓與淨化流之組合,有可能將粒子移動遠離膜40之臨界表面。
圖33展示用於膜40之清潔器件之實例。真空腔室500具備用於搖動膜40之線性搖動載物台501以便疏鬆來自其表面之直徑約為十分之幾奈米直至數百微米或甚至毫米大小之粒子。線性搖動載物台501可包括用以附接界面板502以用於支撐膜40及將膜40耦接至其之一或多個耦接構件。該界面板可具備附接構件,例如柱,使得可容易地附接膜框架且將膜框架自該界面板脫離。在真空腔室500之一側處,提供藉以提供層狀氣流之進入開口503。可在真空腔室500之另一側處提供排出開口504,該排出開口較佳地與用於層狀氣流之進人開口503相對。通過排出開口503,層狀氣體較佳地與膜表面平行地流動,使得由層流驅動之經釋放粒子可自真空腔室500排出。有可能提供耦合至排出開口之真空源或真空清潔器以進一步輔助排出粒子及形成通過該真空腔室之層流(圖中未繪示)。真空腔室500可為輸送載體800之部分。較佳地,線性搖動載物台501、界面板502及膜40沿著重力在豎直方向上定向。
清潔器件亦可包括用以藉由使用檢測攝影機600及線光源700 (諸如漫射LED帶或線雷射)偵測粒子之線內量測方法。可在有可能自線光源700捕捉任何光之位置處提供檢測攝影機600,該任何光係由來自膜40之表面之粒子散射。舉例而言,可在最佳位置且在最佳定向上提供與膜表面相對之檢測攝影機600以捕捉散射光,以便自膜表面40偵測粒子。可(例如)在進入開口503之側處提供線光源700,以便照明位於膜表面上或藉由運用線性搖動載物台501搖動界面板502而經驅動至層流中之粒子。
替代地,有可能將聲學揚聲器置放在經豎直安裝膜40前方,同時將其保持在降流箱中,使得可藉由加速度移除粒子(圖中未繪示)。藉由運用聲波激發該膜,可達成高加速度以脫離污染粒子。以此方式,膜40將不經受可以線性加速度出現且可能潛在地損壞膜之高氣流速度。測試展示真空條件期望達成最有效粒子釋放。咸信此係至少部分地歸因於不存在水。在一實施例中,在使用以下序列之真空條件中實施以上聲學清潔程序:1)提供封閉體且將該封閉體泵吸至真空能階;2)運用氣體(例如乾空氣)使該封閉體通風;及3)實施聲學清潔程序(緊接在運用氣體之通風之後)。
儘管在本文中可特定地參考微影裝置在IC製造中之使用,但應理解,本文中所描述之微影裝置可具有其他應用,諸如製造整合式光學系統、用於磁疇記憶體之導引及偵測圖案、平板顯示器、LCD、薄膜磁頭等等。可在曝光之前或之後在(例如)塗佈顯影系統(通常將抗蝕劑層施加至基板且顯影經曝光抗蝕劑之工具)、度量衡工具及/或檢測工具中處理本文中所提及之基板。適用時,可將本文中之揭示內容應用於此等及其他基板處理工具。此外,可將基板處理多於一次,(例如)以便產生多層IC,使得本文中所使用之術語「基板」亦可指代已經含有多個經處理層之基板。
雖然上文已描述本發明之特定實施例,但應瞭解,可以與所描述方式不同之其他方式來實踐本發明。舉例而言,各種光阻層可由執行同一功能之非光阻層替換。
以上描述意欲為說明性而非限制性的。因此,熟習此項技術者將顯而易見,可在不脫離下文所闡明之申請專利範圍及條項之範疇的情況下對如所描述之本發明進行修改。
[第1項]
一種用於EUV微影之膜,該膜包含具有按以下次序之層之一堆疊:
一第一罩蓋層,其包含第一金屬之氧化物;
一基層,其包含化合物,該化合物包含第二金屬及選自由以下各者組成之群組之額外元素:Si、B、C及N;及
一第二罩蓋層,其包含第三金屬之氧化物,其中該第一金屬不同於該第二金屬且該第三金屬相同或不同於該第一金屬。
[第2項]
如條項1之膜,其中該基層比該第一罩蓋層及該第二罩蓋層中之任一者或兩者中之每一者厚至少五倍。
[第3項]
如條項1或2之膜,其中該第一金屬及該第三金屬中之任一者或兩者係選自由以下各者組成之群組:Nb、Zr、Ce、Ti、La、Y及Al。
[第4項]
如條項3之膜,其中該第一金屬及該第三金屬中之任一者或兩者係選自由以下各者組成之群組:Zr及Y。
[第5項]
如條項1或2之膜,其中在該基層之該化合物中:
該第二金屬為Mo且該額外元素為Si;
該第二金屬為Ru且該額外元素為Si;
該第二金屬為Zr且該額外元素為Si;
該第二金屬為La且該額外元素為Si;
該第二金屬為Sc且該額外元素為Si;
該第二金屬為Y且該額外元素為Si;
該第二金屬為Nb且該額外元素為Si;
該第二金屬為Mo且該額外元素為B;
該第二金屬為Ru且該額外元素為B;
該第二金屬為Zr且該額外元素為B;
該第二金屬為Nb且該額外元素為B;
該第二金屬為Ti且該額外元素為B;
該第二金屬為La且該額外元素為B;或
該第二金屬為Zr且該額外元素為C。
[第6項]
如條項5之膜,其中在該基層之該化合物中:
該第二金屬為Mo且該額外元素為Si;或
該第二金屬為Ru且該額外元素為Si。
[第7項]
如條項5之膜,其中在該基層之該化合物中:
該第二金屬為Mo且該額外元素為B;或
該第二金屬為Ru且該額外元素為B。
[第8項]
如條項1或2之膜,其中在該基層中,包含該第二金屬及該額外元素之該化合物由該第二金屬及該額外元素構成。
[第9項]
如條項1或2之膜,其中:
該第一金屬為Zr;
該第二金屬為Mo且該額外元素為Si;且
該第三金屬為Zr。
[第10項]
如條項1或2之膜,其中:
該第一金屬之該氧化物為包含該第一金屬及一或多種另外金屬之混合金屬氧化物;
該第三金屬之該氧化物為包含該第二金屬及一或多種另外金屬之混合金屬氧化物;或
該第一金屬之該氧化物為包含該第一金屬及一或多種另外金屬之混合金屬氧化物且該第三金屬之該氧化物為包含該第三金屬及一或多種另外金屬之混合金屬氧化物。
[第11項]
如條項1或2之膜,其中該基層包含複數個基層子層,該等基層子層中之至少一者包含該化合物,該化合物包含該第二金屬及該額外元素。
[第12項]
如條項11之膜,其中:
該基層包含一基層第一子層、一基層第二子層及一基層第三子層;
該基層第二子層安置於該基層第一子層與該基層第三子層之間且包含該化合物,該化合物包含該第二金屬及該額外元素;
該基層第一子層包含該額外元素之氧化物;且
該基層第三子層包含該額外元素之氧化物。
[第13項]
如條項12之膜,其中該基層第一子層之至少一部分與該第一罩蓋層中之該第一金屬之該氧化物接觸。
[第14項]
如條項12之膜,其中該基層第三子層之至少一部分與該第二罩蓋層中之該第三金屬之該氧化物接觸。
[第15項]
如條項1或2之膜,其中該基層中之包含該第二金屬及該額外元素的該化合物之至少一部分與該第一罩蓋層中之該第一金屬之該氧化物及該第二罩蓋層中之該第三金屬之該氧化物中之任一者或兩者接觸。
[第16項]
如條項1或2之膜,其中該第一罩蓋層包含一第一罩蓋層第一子層及一第一罩蓋層第二子層,該第一罩蓋層第一子層包含該第一金屬之該氧化物且該第一罩蓋層第二子層包含一第一罩蓋層沈積氧化物,該第一罩蓋層第二子層定位於該第一罩蓋層第一子層與該基層之間。
[第17項]
如條項16之膜,其中該第一罩蓋層沈積氧化物包含矽之氧化物。
[第18項]
如條項1或2之膜,其中該第二罩蓋層包含一第二罩蓋層第一子層及一第二罩蓋層第二子層,該第二罩蓋層第一子層包含該第三金屬之該氧化物且該第二罩蓋層第二子層包含一第二罩蓋層沈積氧化物,該第二罩蓋層第二子層定位於該第二罩蓋層第一子層與該基層之間。
[第19項]
如條項18之膜,其中該第二罩蓋層沈積氧化物包含矽之氧化物。
[第20項]
如條項1或2之膜,其中:
該第一罩蓋層及該第二罩蓋層各自具有小於5奈米之一厚度。
[第21項]
如條項1或2之膜,其中:
該基層具有等於或大於8奈米之一厚度。
[第22項]
如條項21之膜,其中該基層之該厚度經選擇以在自該第一罩蓋層及該第二罩蓋層之EUV反射之間達成破壞性干涉。
[第23項]
如條項21之膜,其中該基層具有9 +/- 2奈米或16奈米+/- 2奈米之一厚度。
[第24項]
如條項1或2之膜,其中該第一罩蓋層及該第二罩蓋層中之任一者或兩者形成該膜之外表面之至少部分。
[第25項]
如條項1或2之膜,其中該第一金屬之該氧化物及該第三金屬之該氧化物為氧傳導氧化物。
[第26項]
一種用於EUV微影之膜,其中:
該膜包含一膜層,該膜層包含化合物,該化合物包含金屬及額外元素;且
該膜之兩個外表面之至少部分係由該膜層中之該化合物或該額外元素之氧化物形成,其中:
該金屬為Mo且該額外元素為Si;
該金屬為Ru且該額外元素為Si;
該金屬為Zr且該額外元素為Si;
該金屬為La且該額外元素為Si;
該金屬為Sc且該額外元素為Si;
該金屬為Y且該額外元素為Si;
該金屬為Nb且該額外元素為Si;
該金屬為Mo且該額外元素為B;
該金屬為Ru且該額外元素為B;
該金屬為Zr且該額外元素為B;
該金屬為Nb且該額外元素為B;
該金屬為Ti且該額外元素為B;
該金屬為La且該額外元素為B;或
該金屬為Zr且該額外元素為C。
[第27項]
如條項26之膜,其中:
該膜層具有等於或大於8奈米之一厚度。
[第28項]
如條項27之膜,其中該膜層之該厚度經選擇以在自該膜層之相對側上之界面的EUV反射之間達成破壞性干涉。
[第29項]
如條項27或28之膜,其中該膜層具有9奈米+/- 2奈米或16奈米+/- 2奈米之一厚度。
[第30項]
如條項26至28中任一項之膜,其中:
該金屬為Mo且該額外元素為Si;或
該金屬為Ru且該額外元素為Si。
[第31項]
如條項26至28中任一項之膜,其中:
該金屬為Mo且該額外元素為B;或
該金屬為Ru且該額外元素為B。
[第32項]
一種用於使用具有波長λ之一EUV輻射之EUV微影的膜,該膜包含按以下次序之層之一堆疊:
一第一保護性罩蓋層;
具有λ/2之厚度之一第一發射率層;
具有λ/4之一厚度之一第一障壁層;
一基層;其中該第一保護性罩蓋層具有與該第一發射率層之折射率匹配且與該第一障壁層之折射率匹配之折射率;且
其中該等層之該厚度經選擇以在自該膜之相對側上之界面的EUV反射之間達成破壞性干涉。
[第33項]
如條項32之膜,其進一步包含:
一第二保護性罩蓋層;
具有λ/2之厚度之一第二發射率層;
具有λ/4之一厚度之一第二障壁層;
其中該第二保護性罩蓋層具有與該第二發射率層之折射率匹配且與該第二障壁層之折射率匹配之折射率。
[第34項]
一種製造用於EUV微影之一膜之方法,其包含:
提供一基層;及
提供一發射率層,與該基層相比,該發射率層具有一較高紅外線發射率,其中
在一不規則支撐表面上提供該發射率層;且
該發射率層具有使得該不規則支撐表面之不規則性在該發射率層之與該不規則支撐表面相對之表面中產生一對應不規則性之一厚度。
[第35項]
如條項34之方法,其中該不規則支撐表面係藉由一多晶材料之各向異性蝕刻形成。
[第36項]
如條項34或35之方法,其中該不規則支撐表面中之微晶面之相對於至該膜的法線之一平均角度小於85%。
[第37項]
如條項34或35之方法,其中該基層包含多晶矽。
[第38項]
如條項34或35之方法,其中該發射率層包含以下各者中之一或多者:Ru、Mo、Zr及Nb。
[第39項]
如條項34或35之方法,其中該發射率層之表面之不規則性使得一平均峰谷高度差為至少2奈米。
[第40項]
一種製造用於EUV微影之一膜之方法,其包含:
使用一光罩來界定一支撐結構中之待蝕刻之一區;及
蝕刻該經界定區,其中:
該光罩具有由包含複數個筆直區段之一光罩邊界線界定之一形狀;且
每一對直接鄰近筆直區段之間的內部角大於90度。
[第41項]
一種膜,其使用如條項34至40中任一項之方法來製造。
[第42項]
一種用於EUV微影之膜,其包含一獨立部分,其中:
該獨立部分之一形狀係由包含複數個實質上筆直區段之一獨立部分邊界線界定;且
每一對直接鄰近筆直區段之間的內部角大於90度。
[第43項]
一種用於EUV微影之膜,該膜包含:
一基層;及
一罩蓋層,其中該罩蓋層包含Mo與至少一種其他金屬之合金。
[第44項]
如條項43之膜,其中該至少一種其他金屬包含以下各者中之一或多者:Ta、Ti、Cr、Ni及Nb。
[第45項]
一種用於EUV微影之膜,該膜包含:
一基層;及
一罩蓋層,其中該罩蓋層包含硼矽酸玻璃。
[第46項]
如條項45之膜,其中該硼矽酸玻璃經形成以代替該基層之原生氧化物,該硼矽酸玻璃係藉由將該基層之原生氧化物變換成該硼矽酸玻璃而形成,或該硼矽酸玻璃形成以覆蓋該基層之原生氧化物。
[第47項]
一種用於EUV微影之膜,該膜包含:
一基層,其包含以下各者中之一或多者:YSi2
、ZrSi2
、LaSi2
及NbSi2
。
[第48項]
如條項47之膜,其進一步包含一罩蓋層,該罩蓋層包含一罩蓋層第一子層及一罩蓋層第二子層,其中:
該罩蓋層第一子層與該基層接觸,且該罩蓋層第二子層定位於與該基層相對之該罩蓋層第一子層之一側上;且
該罩蓋層第一子層包含MoSi2
或Si。
[第49項]
如條項48之膜,其中該罩蓋層第二子層包含B。
[第50項]
如條項42至49中任一項之膜,其中該基層之該厚度經選擇以在自該基層之相對側上之界面的EUV反射之間達成破壞性干涉。
[第51項]
如條項42至49中任一項之膜,其中該基層之該厚度為以下各者中之一者:9奈米+/- 2奈米、16奈米+/- 2奈米、22 +/- 2奈米及29 +/- 2奈米。
[第52項]
一種製造用於EUV微影之一膜之方法,其包含:
提供一基層或包含一基層之一堆疊;及
在該基層上或在該堆疊上形成一非晶罩蓋層。
[第53項]
如條項52之方法,其中該非晶罩蓋層之該形成包含同時沈積至少兩種不同金屬以形成合金。
[第54項]
如條項52或53之方法,其中該非晶罩蓋層之該形成包含在存在一第一氣體及一第二氣體的情況下沈積該非晶罩蓋層,其中相較於在僅存在該第一氣體的情況下所執行之相同沈積程序,該第二氣體之存在抑制該非晶罩蓋層之結晶。
[第55項]
如條項52或53之方法,其中執行該非晶罩蓋層之該形成,同時將該基層或堆疊保持在低於室溫之一溫度下。
[第56項]
一種用於EUV微影之膜,該膜包含:
一基層;及
一非晶罩蓋層,其提供該膜之一外表面。
[第57項]
如條項56之膜,其中該非晶罩蓋層為金屬的。
[第58項]
如條項56或57之膜,其中該非晶罩蓋層包含合金。
[第59項]
如條項58之膜,其中該合金包含以下各者中之一或多者:Ru、Mo、B、C、Cr、Ir、Nb、Pd、Pt、Re、Rh、Ti、V、Y,視情況包含以下各者中之一者或兩者:1)Ru與Rh、Pd、Pt、Y、B、Ti、C及P中之一或多者;及2)Mo與B、Ta、Nb、Cr及Ru中之一或多者。
[第60項]
如條項59之膜,其中該合金包含摻雜有B之Ru、摻雜有P之Ru或Mo(1-x-y)
Rux
By
。
[第61項]
一種用於EUV微影之膜,該膜包含:
一基層;及
一罩蓋層,其提供該膜之一外表面,其中該罩蓋層包含sp3
碳之一比例為至少20%之碳。
[第62項]
如條項61之膜,其中該基層包含sp3
碳之一比例低於該罩蓋層之該碳中之sp3
碳的該比例之碳。
[第63項]
一種用於EUV微影之膜,該膜包含:
一基層;及
一罩蓋層,其提供該膜之一外表面,其中該罩蓋層包含摻雜有鹼金屬或鹼土金屬之過渡金屬。
[第64項]
一種用於EUV微影之膜,該膜包含:
一基層;及
一罩蓋層,其提供該膜之一外表面,其中該罩蓋層包含M1x
M2y
Oz
,其中M1包含一或多種鹼金屬及/或一或多種鹼土金屬,且M2包含過渡金屬,視情況稀土金屬。
[第65項]
如條項64之膜,其中該罩蓋層包含以下各者中之一或多者:SrRuO3
、SrVO3
、CaVO3
、La0.67
Sr0.33
MnO3
。
[第66項]
一種製造用於EUV微影之一膜之方法,其包含:
在一支撐結構上形成至少一基層;及
在該基層下方之一經選擇區中蝕刻該支撐結構以形成包含該基層之一獨立膜,其中:
該支撐結構之熱膨脹係數與該基層之熱膨脹係數之間的一差小於矽之熱膨脹係數與該基層之熱膨脹係數之間的一差。
[第67項]
如條項66之方法,其中:
該基層包含MoSi2
、ZrSi2
、B及B4
C中之一或多者,且該支撐結構包含藍寶石;或
該基層包含sp2
碳且該支撐結構包含石英。
[第68項]
一種用於EUV微影之膜,其包含一獨立部分,其中:
該獨立部分包含一基層且連接至由一支撐結構支撐之一非獨立部分,其中該支撐結構之熱膨脹係數與該基層之熱膨脹係數之間的一差小於矽之熱膨脹係數與該基層之熱膨脹係數之間的一差。
[第69項]
一種膜,其使用如條項52至55及66至67中任一項之方法來製造。
[第70項]
一種用於EUV微影之圖案化器件總成,其包含如條項1至33、41至51、56至65及68至69中任一項之膜。
[第71項]
一種用於EUV微影之動態氣鎖總成,其包含如條項1至33及41至51、56至65及68至69之膜。
[第72項]
一種用於清潔用於EUV微影之一膜之清潔工具,其包含:
一腔室,其包含用於將一層狀氣流提供通過該腔室之一進入開口及一排出開口,使得該層流之方向與該膜之一外表面平行;
在該腔室中,一界面板經配置以固持該膜,且
一搖動載物台耦接至該界面板且經配置以搖動該膜,使得自該膜之該外表面釋放污染粒子。
[第73項]
一種用於清潔用於EUV微影之一膜之清潔工具,其包含:
一腔室,其包含用於將一層狀氣流提供通過該腔室之一進入開口及一排出開口,使得該層流之方向與該膜之一外表面平行;
在該腔室中,一界面板經配置以固持該膜,且
一聲學揚聲器經配置在該膜前方且經配置以運用聲波搖動該膜,使得自該膜之該外表面釋放污染粒子。
[第74項]
如條項72或73之清潔工具,其進一步包含一檢測攝影機及一光源。
[第75項]
如條項72或73之清潔工具,其中該膜係在一豎直位置中且其中該腔室之該進入開口及該排出開口經配置使得該層流自該清潔工具移除該等污染粒子。
功能 | 折射率 | 材料 | 厚度 |
OLT罩蓋 | 0.972 | B | 3.0 ±0.5 |
發射 | 0.923 | Mo | 6.0 ±1.0 |
障壁 | 0.975 | SiN (+SiO2 ) | 3.5 ±0.5 |
核心 | 0.999 | Si | 37.5 ±2.0 |
障壁 | 0.975 | SiN (+SiO2 ) | 3.5 ±0.5 |
OLT罩蓋 | 0.972 | B | 3.0 ±0.5 |
EUVT | 84.4% | ||
EUVR | <0.05% |
MSi2 | ΔH298 f / kcal/mol 在298K 下 | ΔH298 r (1) / kcal/mol | ΔH298 r (2) / kcal/mol | 矽化物產物 | 氧化物產物 |
RuSi2 | -10.4 | -102.8 | -75.6 | RuSi | RuO2 |
RuSi2 | -10.4 | -102.8 | -57.2 | RuSi | RuO4 |
LaSi2 | -6.2 | -114 | -114 | LaSi | La2 O3 |
LaSi2 | -6.2 | -114 | -88.5 | LaSi | LaO(g) |
MoSi2 | -9.3 | -101.8 | -83.4 | Mo3 Si | MoO3 |
MoSi2 | -9.3 | -102.7 | -83.4 | Mo5 Si3 | MoO3 |
MoSi2 | -9.3 | -101.8 | -98 | Mo3 Si | MoO2 |
ZrSi2 | -12.7 | -107.3 | -108.6 | ZrSi | ZrO2 |
ZrSi2 | -12.7 | -101.7 | -108.6 | Zr2 Si | ZrO2 |
TiSi2 | -10.7 | -107.3 | -103.1 | TiSi | TiO2 |
TiSi2 | -10.7 | -107.3 | -106.9 | TiSi | TiO |
NbSi2 | -7.3 | -104.5 | -98.6 | Nb5 Si3 | Nb2 O5 |
NbSi2 | -7.3 | -104.5 | -105.1 | Nb5 Si3 | NbO |
NbSi2 | -7.3 | -104.5 | -100.1 | Nb5 Si3 | NbO2 |
YSi2 | - | - | - | - | Y2 O3 |
21:未經圖案化光束
22:琢面化場鏡面器件
24:琢面化光瞳鏡面器件
26:經圖案化光束
28:反射元件
30:反射元件
40:膜
50:膜層
51:膜層第一子層
52:膜層第二子層
53:膜層第三子層
60:基層
61:基層第一子層
62:基層第二子層
63:基層第三子層
70:第一罩蓋層
71:第一罩蓋層第一子層
72:第一罩蓋層第二子層
80:膜總成/第二罩蓋層
81:第二罩蓋層第一子層
82:第二罩蓋層第二子層
100:微影裝置
210:極紫外線(EUV)輻射發射電漿
211:源腔室
212:收集器腔室
220:圍封結構
221:開口
301:曲線
302:曲線
303:曲線
304:曲線
311:曲線
312:曲線
313:曲線
320:矽晶圓
322:氧化層
324:MoSi2
層/氧化層
326:正矽酸四乙酯(TEOS)氧化層
328:囊封層
400:發射率層
401:下部罩蓋層
402:平坦支撐層
406:額外層
407:上部罩蓋層
410:矩形光罩
412:獨立部分邊界線
414:90度隅角
416:內部角
418:外部角
420:罩蓋層
430:罩蓋層
431:罩蓋層第一子層
432:罩蓋層第二子層
500:真空腔室
501:線性搖動載物台
502:界面板
503:進入開口
504:排出開口
600:檢測攝影機
700:線光源
802:支撐結構
804:經選擇區
B:輻射光束
C:目標部分
CO:輻射收集器
IF:虛擬源點
IL:照明系統
M1:光罩對準標記
M2:光罩對準標記
MA:圖案化器件
MT:支撐結構
P1:基板對準標記
P2:基板對準標記
PM:第一定位器
PS:投影系統
PS2:位置感測器
PW:第二定位器
SO:源收集器模組
W:基板
WT:基板台
現將參考隨附示意性圖式而僅作為實例來描述本發明之實施例,在該等圖式中,對應參考符號指示對應部分,且在該等圖式中:
圖1描繪根據本發明之一實施例之微影裝置;
圖2為微影裝置之更詳細視圖;
圖3至圖8示意性地描繪根據本發明之不同實施例之膜的橫截面;
圖9為比較各種材料之斷裂韌度與屈服強度之曲線圖;
圖10為展示用於各種材料之屈服強度之溫度相依性的曲線圖;
圖11至圖13為根據理論及實驗分別展示依據MoSi2
之不同厚度之波長變化的透射率、反射率及吸收率之曲線圖;
圖14展示通過1.5公分直徑之MoSi2
膜之EUV透射的分佈;
圖15展示EUV透射隨用於在0.65瓦特/平方公分下曝光20小時之MoSi2
膜之波長的變化(在膜之中心區與其他區之間未看出明顯差異);
圖16展示EUV透射隨用於在1.8瓦特/平方公分下曝光20小時之MoSi2
膜之波長的變化(虛線展示中心區中之透射);
圖17至圖25描繪根據一實施例之用於製造膜之實例程序流程;
圖26示意性地描繪具有形成於不規則支撐表面上之發射率層之膜的橫截面,該不規則支撐表面藉由多晶矽基層之各向異性蝕刻而形成;
圖27及圖28示意性地描繪製造具有形成於不規則支撐表面上之發射率層之膜的方法之步驟之橫截面,該不規則支撐表面藉由除基層外亦予以提供之多晶矽層之各向異性蝕刻而形成;
圖29描繪用於界定待蝕刻以釋放膜之區之光罩(左邊)及該膜(右邊)之所得獨立部分之示意性俯視圖,其中光罩與獨立部分具有90度隅角;
圖30描繪用於界定待蝕刻以釋放膜之區之光罩(左邊)及膜(右邊)之所得獨立部分之示意性俯視圖,其中光罩與獨立部分具有隅角,且內部角度大於90度以縮減隅角中之應力集中;
圖31示意性地描繪具有基層及罩蓋層之膜之橫截面,其中罩蓋層包含Mo與至少一種其他金屬之合金或包含硼矽酸玻璃;
圖32示意性地描繪具有基層、包含MoSi2
或Si之罩蓋層第一子層及包含B之罩蓋層第二子層之膜的橫截面;
圖33示意性地描繪根據本發明之用於監視且清潔膜之清潔工具;
圖34示意性地描繪製造膜之方法中之階段;且
圖35示意性地描繪圖34之製造方法中之另外階段。
40:膜
60:基層
70:第一罩蓋層
80:膜總成/第二罩蓋層
Claims (18)
- 一種用於EUV微影之一膜(membrane),該膜包含: 一基層,其包含以下各者中之一或多者:YSi2 、ZrSi2 、LaSi2 、NbSi2 、MoSi2、RuSi2及多晶矽;。 一罩蓋層(capping layer),其提供該膜之一外表面。
- 如請求項1之膜,其中其中該罩蓋層包含硼矽酸玻璃。
- 如請求項1之膜,其中該罩蓋層包含sp3 碳之一比例為至少20%之碳。
- 如請求項3之膜,其中該基層包含sp3 碳之一比例低於該罩蓋層之該碳中之sp3 碳的該比例之碳。
- 如請求項1之膜,其中該罩蓋層包含摻雜有鹼金屬或鹼土金屬之過渡金屬。
- 如請求項1之膜,其中該罩蓋層包含Mo與至少一種其他金屬之合金。
- 如請求項6之膜,其中該至少一種其他金屬包含以下各者中之一或多者:Ta、Ti、Cr、Ni及Nb。
- 如請求項1之膜,其中該罩蓋層包含一罩蓋層第一子層及一罩蓋層第二子層,其中: 該罩蓋層第一子層與該基層接觸,且該罩蓋層第二子層定位於與該基層相對之該罩蓋層第一子層之一側上;且 該罩蓋層第一子層包含MoSi2 或Si。
- 如請求項1之膜,其中該罩蓋層包含M1x M2y Oz ,其中M1包含一或多種鹼金屬及/或一或多種鹼土金屬,且M2包含過渡金屬,視情況為稀土金屬。
- 如請求項9之膜,其中該罩蓋層包含以下各者中之一或多者:SrRuO3 、SrVO3 、CaVO3 、La0.67 Sr0.33 MnO3 。
- 如請求項1至10中任一項之膜,其中該膜或該基層之一外表面具有至少1奈米之特性長度尺度(length scale)之一粗糙度,較佳為至少2奈米,更佳為至少3奈米。
- 如請求項11之膜,其中該外表面藉由各向異性蝕刻(anisotropic etching)而經處理。
- 如請求項11之膜,其中該基層包含多晶矽且該覆蓋層包含Ru。
- 如請求項1至10中任一項之膜,其中該膜層具有等於或大於8奈米之一厚度。
- 如請求項14之膜,其中該基層之該厚度經選擇以在自該基層之相對側上之界面的EUV反射之間達成破壞性干涉(destructive interference)。
- 如請求項15之膜,其中該基層之該厚度為以下各者中之一者:9奈米+/- 2奈米、16奈米+/- 2奈米、22 +/- 2奈米及29 +/- 2奈米。
- 一種用於EUV微影之圖案化器件總成,其包含如請求項1至16中任一項之膜。
- 一種用於EUV微影之動態氣鎖總成,其包含如請求項1至16中任一項之膜。
Applications Claiming Priority (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
EP16166775.3 | 2016-04-25 | ||
EP16166775 | 2016-04-25 | ||
EP16195123 | 2016-10-21 | ||
EP16195123.1 | 2016-10-21 | ||
EP16205298.9 | 2016-12-20 | ||
EP16205298 | 2016-12-20 |
Publications (2)
Publication Number | Publication Date |
---|---|
TW202201145A true TW202201145A (zh) | 2022-01-01 |
TWI789920B TWI789920B (zh) | 2023-01-11 |
Family
ID=58544946
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW110135604A TWI789920B (zh) | 2016-04-25 | 2017-04-24 | 用於euv微影之膜、圖案化器件總成及動態氣鎖總成 |
TW106113642A TWI744316B (zh) | 2016-04-25 | 2017-04-24 | 用於極紫外線微影之膜及其製造方法 |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
TW106113642A TWI744316B (zh) | 2016-04-25 | 2017-04-24 | 用於極紫外線微影之膜及其製造方法 |
Country Status (9)
Country | Link |
---|---|
US (3) | US10908496B2 (zh) |
EP (2) | EP4202545A1 (zh) |
JP (4) | JP7009380B2 (zh) |
KR (3) | KR102501192B1 (zh) |
CN (2) | CN109154771B (zh) |
CA (1) | CA3021916A1 (zh) |
NL (4) | NL2018691B1 (zh) |
TW (2) | TWI789920B (zh) |
WO (1) | WO2017186486A1 (zh) |
Families Citing this family (41)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP6851017B2 (ja) * | 2016-05-18 | 2021-03-31 | パナソニックIpマネジメント株式会社 | デバイス及びその製造方法 |
CA3082273A1 (en) * | 2017-11-10 | 2019-05-16 | Asml Netherlands B.V. | Euv pellicles |
US11143951B2 (en) * | 2018-04-30 | 2021-10-12 | Taiwan Semiconductor Manufacturing Co., Ltd. | Pellicle for an EUV lithography mask and a method of manufacturing thereof |
WO2019211083A1 (en) | 2018-05-04 | 2019-11-07 | Asml Netherlands B.V. | Pellicle for euv lithography |
KR20210016368A (ko) * | 2018-05-31 | 2021-02-15 | 에이에스엠엘 네델란즈 비.브이. | 리소그래피 장치 |
US11016383B2 (en) * | 2018-08-31 | 2021-05-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Pellicle for an EUV lithography mask and a method of manufacturing thereof |
WO2020056080A1 (en) * | 2018-09-12 | 2020-03-19 | Photronics, Inc. | Pellicle for flat panel display photomask |
EP3903152A1 (en) * | 2018-12-28 | 2021-11-03 | ASML Netherlands B.V. | Substrate holder for use in a lithographic apparatus and a method of manufacturing a substrate holder |
CN113646697A (zh) * | 2019-04-12 | 2021-11-12 | Asml荷兰有限公司 | 用于euv光刻的表膜 |
EP3764163B1 (en) * | 2019-07-11 | 2023-04-12 | IMEC vzw | An extreme ultraviolet lithography device |
CN114127633A (zh) * | 2019-07-16 | 2022-03-01 | Asml荷兰有限公司 | 用于光学元件的耐氧损失顶部涂层 |
KR102242341B1 (ko) * | 2019-08-05 | 2021-04-20 | 주식회사 에프에스티 | 펠리클 프레임의 제조방법 및 펠리클의 제조장치 |
KR102273266B1 (ko) * | 2019-10-23 | 2021-07-06 | 주식회사 에프에스티 | 일체화된 프레임과 멤브레인을 포함하는 펠리클의 제조방법 |
JP2023526897A (ja) * | 2020-05-26 | 2023-06-26 | エーエスエムエル ネザーランズ ビー.ブイ. | リソグラフィ装置用の光学素子及びペリクル膜 |
CN111623141B (zh) * | 2020-06-11 | 2022-04-26 | 江苏阀邦半导体材料科技有限公司 | 一种应用在半导体阀门的金属对金属直接密合结构和处理工艺 |
KR20220017137A (ko) * | 2020-08-04 | 2022-02-11 | 주식회사 에스앤에스텍 | 극자외선 리소그래피용 펠리클 및 그 제조방법 |
KR20220062799A (ko) * | 2020-11-09 | 2022-05-17 | 한국전자기술연구원 | 극자외선 노광용 펠리클 |
KR102317053B1 (ko) | 2020-12-02 | 2021-10-26 | 한국전자기술연구원 | 그래핀 결함 치유층을 구비하는 극자외선 노광용 펠리클 및 그의 제조 방법 |
KR102375433B1 (ko) | 2020-12-02 | 2022-03-18 | 한국전자기술연구원 | 3성분계의 코어층을 포함하는 극자외선 노광용 펠리클 |
KR102278843B1 (ko) | 2020-12-15 | 2021-07-20 | 한국전자기술연구원 | 다성분계의 코어층을 포함하는 극자외선 노광용 펠리클 |
KR20220092191A (ko) | 2020-12-24 | 2022-07-01 | 한국전자기술연구원 | Euv 노광용 나노박막 광학 시뮬레이션을 위한 방법 및 이를 위한 장치 |
KR102625228B1 (ko) | 2021-01-04 | 2024-01-15 | 한국표준과학연구원 | 오염 입자 포집부와 이를 포함하는 극자외선 노광 장치 |
KR102596427B1 (ko) | 2021-01-04 | 2023-10-31 | 한국표준과학연구원 | 오염 입자 차단부와 이를 포함하는 극자외선 노광 장치 |
KR20220139656A (ko) | 2021-04-08 | 2022-10-17 | 한국전자기술연구원 | 비정질 탄소를 포함하는 극자외선 노광용 펠리클 및 그의 제조 방법 |
KR20220140105A (ko) | 2021-04-09 | 2022-10-18 | 한국전자기술연구원 | 탄화몰리브데넘을 포함하는 극자외선 노광용 펠리클 |
KR20220141378A (ko) | 2021-04-12 | 2022-10-20 | 한국전자기술연구원 | 이트륨계 기반의 극자외선 노광용 펠리클 |
KR20220142571A (ko) * | 2021-04-14 | 2022-10-24 | 한국전자기술연구원 | 극자외선 노광용 펠리클 |
KR20220142024A (ko) | 2021-04-14 | 2022-10-21 | 한국전자기술연구원 | 극자외선 노광용 펠리클 |
US11815804B2 (en) * | 2021-04-22 | 2023-11-14 | Taiwan Semiconductor Manufacturing Co., Ltd. | EUV mask blank and method of making EUV mask blank |
EP4086702A1 (en) * | 2021-05-03 | 2022-11-09 | ASML Netherlands B.V. | Temperature measurement of optical elements in an optical apparatus |
US20230069583A1 (en) * | 2021-08-27 | 2023-03-02 | Taiwan Semiconductor Manufacturing Company, Ltd. | Pellicle for an euv lithography mask and a method of manufacturing thereof |
KR20230058783A (ko) | 2021-10-25 | 2023-05-03 | 한국전자기술연구원 | 탄화나이오븀 기반의 극자외선 노광용 펠리클 |
KR20230058782A (ko) | 2021-10-25 | 2023-05-03 | 한국전자기술연구원 | 탄화이트륨 기반의 극자외선 노광용 펠리클 |
KR20230073539A (ko) * | 2021-11-19 | 2023-05-26 | 주식회사 에프에스티 | 극자외선 리소그라피용 펠리클의 제조방법 |
KR20230077095A (ko) | 2021-11-25 | 2023-06-01 | 한국전자기술연구원 | 금속 탄화물 나노와이어를 이용한 극자외선 노광용 펠리클 |
US20230359116A1 (en) * | 2022-05-06 | 2023-11-09 | Intel Corporation | System and process for cleaning a membrane |
EP4303655A1 (en) * | 2022-07-04 | 2024-01-10 | ASML Netherlands B.V. | A membrane and associated method and apparatus |
WO2023232408A1 (en) * | 2022-05-31 | 2023-12-07 | Asml Netherlands B.V. | A membrane and associated method and apparatus |
KR20230174998A (ko) * | 2022-06-22 | 2023-12-29 | 주식회사 에프에스티 | 극자외선 리소그라피용 펠리클의 제조방법 |
JP7462005B1 (ja) | 2022-10-06 | 2024-04-04 | レーザーテック株式会社 | ビームスプリッタ及び光学装置 |
CN117026190B (zh) * | 2023-08-15 | 2024-02-23 | 同济大学 | 一种抑制极紫外钪基多层膜脆化的制备方法 |
Family Cites Families (42)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5741576A (en) | 1995-09-06 | 1998-04-21 | Inko Industrial Corporation | Optical pellicle with controlled transmission peaks and anti-reflective coatings |
JP4011687B2 (ja) * | 1997-10-01 | 2007-11-21 | キヤノン株式会社 | マスク構造体、該マスク構造体を用いた露光装置、該マスク構造体を用いた半導体デバイス製造方法 |
JPH11109603A (ja) | 1997-10-06 | 1999-04-23 | Mitsubishi Electric Corp | フォトマスクおよび半導体装置の製造方法 |
US6593041B2 (en) * | 2001-07-31 | 2003-07-15 | Intel Corporation | Damascene extreme ultraviolet lithography (EUVL) photomask and method of making |
US7456932B2 (en) * | 2003-07-25 | 2008-11-25 | Asml Netherlands B.V. | Filter window, lithographic projection apparatus, filter window manufacturing method, device manufacturing method and device manufactured thereby |
US7723704B2 (en) | 2006-11-10 | 2010-05-25 | Globalfoundries Inc. | EUV pellicle with increased EUV light transmittance |
US8018578B2 (en) * | 2007-04-19 | 2011-09-13 | Asml Netherlands B.V. | Pellicle, lithographic apparatus and device manufacturing method |
KR20090032876A (ko) * | 2007-09-28 | 2009-04-01 | 주식회사 하이닉스반도체 | 리소그래피 장치 및 이를 이용한 반도체 소자의 형성 방법 |
JP4934099B2 (ja) * | 2008-05-22 | 2012-05-16 | 信越化学工業株式会社 | ペリクルおよびペリクルの製造方法 |
US9285690B2 (en) * | 2008-08-15 | 2016-03-15 | Asml Netherlands B.V. | Mirror, lithographic apparatus and device manufacturing method |
JP5394808B2 (ja) | 2009-04-22 | 2014-01-22 | 信越化学工業株式会社 | リソグラフィ用ペリクルおよびその製造方法 |
KR20120101983A (ko) * | 2009-06-30 | 2012-09-17 | 에이에스엠엘 네델란즈 비.브이. | 스펙트럼 퓨리티 필터, 리소그래피 장치, 및 스펙트럼 퓨리티 필터를 제조하는 방법 |
EP2539771B1 (en) * | 2010-02-25 | 2017-02-01 | ASML Netherlands BV | Lithographic apparatus and device manufacturing method |
CN105700300B (zh) * | 2010-06-25 | 2019-06-18 | Asml荷兰有限公司 | 光谱纯度滤光片 |
JP2013539543A (ja) | 2010-06-30 | 2013-10-24 | スリーエム イノベイティブ プロパティズ カンパニー | 空間選択的な複屈折低減を有するフィルムを使用するマスク加工 |
EP2600388B1 (en) * | 2010-07-27 | 2014-10-08 | Asahi Glass Company, Limited | Substrate provided with reflecting layer for euv lithography, and reflective mask blank for euv lithography |
DE102011005543A1 (de) * | 2011-03-15 | 2012-09-20 | Carl Zeiss Smt Gmbh | Verfahren zur Korrektur der Oberflächenform eines Spiegels |
US20140331338A1 (en) | 2012-02-09 | 2014-11-06 | Hitachi, Ltd. | Device and method for preventing confidential data leaks |
WO2013152921A1 (en) * | 2012-04-12 | 2013-10-17 | Asml Netherlands B.V. | Pellicle, reticle assembly and lithographic apparatus |
NL2010777A (en) | 2012-05-21 | 2013-11-25 | Asml Netherlands Bv | Lithographic apparatus. |
WO2014020003A1 (en) | 2012-08-03 | 2014-02-06 | Asml Netherlands B.V. | Lithographic apparatus and method of manufacturing a device |
KR101569560B1 (ko) | 2012-10-10 | 2015-11-16 | 주식회사 엘지화학 | 가소제 조성물, 제조 방법 및 내열 수지 조성물 |
US8932785B2 (en) * | 2012-10-16 | 2015-01-13 | Advanced Mask Technology Center Gmbh & Co. Kg | EUV mask set and methods of manufacturing EUV masks and integrated circuits |
WO2014095266A2 (en) * | 2012-12-17 | 2014-06-26 | Asml Netherlands B.V. | Substrate support for a lithographic apparatus and lithographic apparatus |
KR102219307B1 (ko) * | 2013-02-22 | 2021-02-23 | 호야 가부시키가이샤 | 반사형 마스크블랭크의 제조방법, 및 반사형 마스크의 제조방법 |
US9354508B2 (en) * | 2013-03-12 | 2016-05-31 | Applied Materials, Inc. | Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor |
US10139725B2 (en) | 2013-03-27 | 2018-11-27 | Asml Netherlands B.V. | Lithographic apparatus |
KR101707763B1 (ko) * | 2013-05-24 | 2017-02-16 | 미쯔이가가꾸가부시끼가이샤 | 펠리클 및 이것을 포함하는 euv 노광 장치 |
TWI658321B (zh) * | 2013-12-05 | 2019-05-01 | 荷蘭商Asml荷蘭公司 | 用於製造一表膜的裝置與方法,以及一表膜 |
US9606459B2 (en) | 2014-01-27 | 2017-03-28 | Luxel Corporation | Monolithic EUV transparent membrane and support mesh and method of manufacturing same |
DE102014204171A1 (de) * | 2014-03-06 | 2015-09-24 | Carl Zeiss Smt Gmbh | Optisches Element und optische Anordnung damit |
KR101680937B1 (ko) | 2014-04-17 | 2016-11-30 | 한양대학교 산학협력단 | Euv 리소그래피용 펠리클 및 그 제조방법 |
WO2015161934A1 (en) | 2014-04-23 | 2015-10-29 | Asml Netherlands B.V. | A lithographic apparatus, radiation source, and lithographic system |
KR20180072844A (ko) | 2014-05-19 | 2018-06-29 | 미쯔이가가꾸가부시끼가이샤 | 펠리클막, 펠리클, 노광 원판, 노광 장치 및 반도체 장치의 제조 방법 |
US9588416B2 (en) * | 2014-06-26 | 2017-03-07 | Columbia University | Methods and apparatus for nanofabrication using a pliable membrane mask |
CN110501769B (zh) * | 2014-07-04 | 2021-11-23 | Asml荷兰有限公司 | 用于光刻设备内的膜和包括这种膜的光刻设备 |
US9739913B2 (en) * | 2014-07-11 | 2017-08-22 | Applied Materials, Inc. | Extreme ultraviolet capping layer and method of manufacturing and lithography thereof |
US10558129B2 (en) | 2014-11-17 | 2020-02-11 | Asml Netherlands B.V. | Mask assembly |
CN116819885A (zh) | 2015-07-17 | 2023-09-29 | Asml荷兰有限公司 | 用于制造隔膜组件的方法 |
CA3003070C (en) | 2015-11-03 | 2023-02-28 | Asml Netherlands B.V. | A method for manufacturing a membrane assembly |
CN108738360B (zh) | 2015-12-14 | 2022-08-19 | Asml荷兰有限公司 | 用于制造隔膜组件的方法 |
EP3391151B1 (en) | 2015-12-18 | 2022-02-16 | ASML Netherlands B.V. | A method of manufacturing a membrane assembly for euv lithography, a membrane assembly, a lithographic apparatus, and a device manufacturing method |
-
2017
- 2017-04-12 CN CN201780025510.6A patent/CN109154771B/zh active Active
- 2017-04-12 JP JP2018548190A patent/JP7009380B2/ja active Active
- 2017-04-12 KR KR1020217040924A patent/KR102501192B1/ko active IP Right Grant
- 2017-04-12 US US16/093,537 patent/US10908496B2/en active Active
- 2017-04-12 EP EP23157200.9A patent/EP4202545A1/en active Pending
- 2017-04-12 KR KR1020237004445A patent/KR20230023066A/ko not_active Application Discontinuation
- 2017-04-12 CN CN202210555114.7A patent/CN114942566A/zh active Pending
- 2017-04-12 WO PCT/EP2017/058721 patent/WO2017186486A1/en active Application Filing
- 2017-04-12 KR KR1020187034100A patent/KR102408195B1/ko active IP Right Grant
- 2017-04-12 EP EP17717149.3A patent/EP3449312B1/en active Active
- 2017-04-12 NL NL2018691A patent/NL2018691B1/en active
- 2017-04-12 CA CA3021916A patent/CA3021916A1/en active Pending
- 2017-04-24 TW TW110135604A patent/TWI789920B/zh active
- 2017-04-24 TW TW106113642A patent/TWI744316B/zh active
-
2018
- 2018-03-02 NL NL2020517A patent/NL2020517B1/en active
-
2019
- 2019-02-12 NL NL2022557A patent/NL2022557B1/en active
- 2019-10-17 NL NL2024033A patent/NL2024033B1/en active
-
2020
- 2020-12-22 US US17/130,537 patent/US11762281B2/en active Active
-
2022
- 2022-01-12 JP JP2022003245A patent/JP7126032B2/ja active Active
- 2022-08-15 JP JP2022129158A patent/JP7478778B2/ja active Active
-
2023
- 2023-07-28 US US18/227,833 patent/US20240004283A1/en active Pending
- 2023-09-28 JP JP2023168399A patent/JP2023165951A/ja active Pending
Also Published As
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7126032B2 (ja) | Euvリソグラフィ用のメンブレン | |
TW201502696A (zh) | 防護薄膜組件、含有其的euv曝光裝置、曝光原版以及曝光方法 | |
NL2026133B1 (en) | Pellicle membrane | |
JP7122367B2 (ja) | 局所熱処理による多層グラフェンペリクルの同時両面コーティング | |
TWI835473B (zh) | 用於euv微影之膜、護膜總成、圖案化器件總成及動態氣鎖總成 |