JP2019507505A5 - - Google Patents
Download PDFInfo
- Publication number
- JP2019507505A5 JP2019507505A5 JP2018546013A JP2018546013A JP2019507505A5 JP 2019507505 A5 JP2019507505 A5 JP 2019507505A5 JP 2018546013 A JP2018546013 A JP 2018546013A JP 2018546013 A JP2018546013 A JP 2018546013A JP 2019507505 A5 JP2019507505 A5 JP 2019507505A5
- Authority
- JP
- Japan
- Prior art keywords
- material layer
- silicon
- substrate
- substrate temperature
- silicon germanium
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 239000000463 material Substances 0.000 claims description 35
- 238000000034 method Methods 0.000 claims description 31
- 239000007789 gas Substances 0.000 claims description 20
- 229910000577 Silicon-germanium Inorganic materials 0.000 claims description 15
- 229910052710 silicon Inorganic materials 0.000 claims description 15
- 238000000859 sublimation Methods 0.000 claims description 11
- 230000008022 sublimation Effects 0.000 claims description 11
- 239000006227 byproduct Substances 0.000 claims description 10
- 239000000126 substance Substances 0.000 claims description 7
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 6
- 229910052731 fluorine Inorganic materials 0.000 claims description 6
- 239000011737 fluorine Substances 0.000 claims description 6
- 239000000203 mixture Substances 0.000 claims description 6
- QJGQUHMNIGDVPM-UHFFFAOYSA-N nitrogen group Chemical group [N] QJGQUHMNIGDVPM-UHFFFAOYSA-N 0.000 claims description 6
- 238000012986 modification Methods 0.000 claims description 4
- 230000004048 modification Effects 0.000 claims description 4
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims description 3
- 239000001257 hydrogen Substances 0.000 claims description 3
- 229910052739 hydrogen Inorganic materials 0.000 claims description 3
- 239000000758 substrate Substances 0.000 claims 29
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 claims 14
- 239000010703 silicon Substances 0.000 claims 14
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 claims 13
- 150000003254 radicals Chemical class 0.000 claims 8
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims 2
- OQNXPQOQCWVVHP-UHFFFAOYSA-N [Si].O=[Ge] Chemical compound [Si].O=[Ge] OQNXPQOQCWVVHP-UHFFFAOYSA-N 0.000 claims 2
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 claims 2
- 150000004820 halides Chemical class 0.000 claims 2
- 230000000873 masking effect Effects 0.000 claims 2
- 229910052756 noble gas Inorganic materials 0.000 claims 2
- 239000001301 oxygen Substances 0.000 claims 2
- 229910052760 oxygen Inorganic materials 0.000 claims 2
- 239000000047 product Substances 0.000 claims 2
- 229910052814 silicon oxide Inorganic materials 0.000 claims 2
- 238000005092 sublimation method Methods 0.000 claims 2
- 229910000530 Gallium indium arsenide Inorganic materials 0.000 claims 1
- 229910004140 HfO Inorganic materials 0.000 claims 1
- 229910052581 Si3N4 Inorganic materials 0.000 claims 1
- 229910003087 TiOx Inorganic materials 0.000 claims 1
- 229910008322 ZrN Inorganic materials 0.000 claims 1
- GEIAQOFPUVMAGM-UHFFFAOYSA-N ZrO Inorganic materials [Zr]=O GEIAQOFPUVMAGM-UHFFFAOYSA-N 0.000 claims 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 claims 1
- HLLICFJUWSZHRJ-UHFFFAOYSA-N tioxidazole Chemical compound CCCOC1=CC=C2N=C(NC(=O)OC)SC2=C1 HLLICFJUWSZHRJ-UHFFFAOYSA-N 0.000 claims 1
- 238000005530 etching Methods 0.000 description 6
- 238000006243 chemical reaction Methods 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 229910008310 Si—Ge Inorganic materials 0.000 description 1
- YBMRDBCBODYGJE-UHFFFAOYSA-N germanium oxide Inorganic materials O=[Ge]=O YBMRDBCBODYGJE-UHFFFAOYSA-N 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- PVADDRMAFCOOPC-UHFFFAOYSA-N oxogermanium Chemical compound [Ge]=O PVADDRMAFCOOPC-UHFFFAOYSA-N 0.000 description 1
- 239000011241 protective layer Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
Applications Claiming Priority (5)
| Application Number | Priority Date | Filing Date | Title |
|---|---|---|---|
| US201662302587P | 2016-03-02 | 2016-03-02 | |
| US201662302584P | 2016-03-02 | 2016-03-02 | |
| US62/302,584 | 2016-03-02 | ||
| US62/302,587 | 2016-03-02 | ||
| PCT/US2017/020503 WO2017151958A1 (en) | 2016-03-02 | 2017-03-02 | Isotropic silicon and silicon-germanium etching with tunable selectivity |
Publications (3)
| Publication Number | Publication Date |
|---|---|
| JP2019507505A JP2019507505A (ja) | 2019-03-14 |
| JP2019507505A5 true JP2019507505A5 (enExample) | 2020-02-06 |
| JP6827633B2 JP6827633B2 (ja) | 2021-02-10 |
Family
ID=59744483
Family Applications (1)
| Application Number | Title | Priority Date | Filing Date |
|---|---|---|---|
| JP2018546013A Active JP6827633B2 (ja) | 2016-03-02 | 2017-03-02 | 等方性シリコン及びシリコンゲルマニウムの調整可能な選択性を備えたエッチング |
Country Status (5)
| Country | Link |
|---|---|
| US (1) | US9984890B2 (enExample) |
| JP (1) | JP6827633B2 (enExample) |
| KR (1) | KR102323389B1 (enExample) |
| TW (1) | TWI625785B (enExample) |
| WO (1) | WO2017151958A1 (enExample) |
Families Citing this family (29)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| JP6692202B2 (ja) * | 2016-04-08 | 2020-05-13 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
| US10141189B2 (en) * | 2016-12-29 | 2018-11-27 | Asm Ip Holding B.V. | Methods for forming semiconductors by diffusion |
| US10043674B1 (en) * | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
| US10685887B2 (en) * | 2017-12-04 | 2020-06-16 | Tokyo Electron Limited | Method for incorporating multiple channel materials in a complimentary field effective transistor (CFET) device |
| US10714391B2 (en) * | 2017-12-04 | 2020-07-14 | Tokyo Electron Limited | Method for controlling transistor delay of nanowire or nanosheet transistor devices |
| KR102823628B1 (ko) * | 2018-05-08 | 2025-06-20 | 램 리써치 코포레이션 | 텔레센트릭 (tele-centric) 렌즈, 광학 빔 폴딩 어셈블리, 또는 다각형 스캐너를 갖는 렌즈 회로를 포함하는 원자 층 에칭 및 증착 프로세싱 시스템들 |
| JP7072440B2 (ja) * | 2018-05-16 | 2022-05-20 | 東京エレクトロン株式会社 | シリコン含有膜のエッチング方法、コンピュータ記憶媒体、及びシリコン含有膜のエッチング装置 |
| US10923356B2 (en) * | 2018-07-20 | 2021-02-16 | Tokyo Electron Limited | Gas phase etch with controllable etch selectivity of silicon-germanium alloys |
| US11447876B2 (en) * | 2018-08-28 | 2022-09-20 | Institute of Microelectronics, Chinese Academy of Sciences | High-precision etching method |
| US11521860B2 (en) | 2018-10-03 | 2022-12-06 | Lam Research Corporation | Selectively etching for nanowires |
| WO2020172208A1 (en) * | 2019-02-20 | 2020-08-27 | Tokyo Electron Limited | Method for selective etching at an interface between materials |
| US10892158B2 (en) * | 2019-04-01 | 2021-01-12 | Hitachi High-Tech Corporation | Manufacturing method of a semiconductor device and a plasma processing apparatus |
| TW202125622A (zh) | 2019-08-28 | 2021-07-01 | 美商得昇科技股份有限公司 | 使用氟自由基處理工件的方法 |
| JP7345334B2 (ja) | 2019-09-18 | 2023-09-15 | 東京エレクトロン株式会社 | エッチング方法及び基板処理システム |
| KR102851015B1 (ko) * | 2019-10-29 | 2025-08-28 | 도쿄엘렉트론가부시키가이샤 | 기판 처리 방법, 기판 처리 장치 및 나노 와이어 또는 나노 시트의 트랜지스터의 제조 방법 |
| JP7653666B2 (ja) * | 2020-03-10 | 2025-03-31 | パナソニックIpマネジメント株式会社 | 電子部品のクリーニング方法および素子チップの製造方法 |
| JP7360979B2 (ja) * | 2020-03-19 | 2023-10-13 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
| US11424120B2 (en) * | 2021-01-22 | 2022-08-23 | Tokyo Electron Limited | Plasma etching techniques |
| US11482423B2 (en) | 2021-01-28 | 2022-10-25 | Tokyo Electron Limited | Plasma etching techniques |
| JP7771835B2 (ja) * | 2021-05-31 | 2025-11-18 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
| JP2022191045A (ja) * | 2021-06-15 | 2022-12-27 | 東京エレクトロン株式会社 | エッチング方法及びエッチング装置 |
| KR102631665B1 (ko) | 2021-06-17 | 2024-02-01 | 주식회사 히타치하이테크 | 플라스마 처리 방법 및 반도체 장치의 제조 방법 |
| FR3125915A1 (fr) * | 2021-10-07 | 2023-02-03 | Commissariat A L'energie Atomique Et Aux Energies Alternatives | Procede de gravure selective isotrope de silicium |
| US12272558B2 (en) * | 2022-05-09 | 2025-04-08 | Tokyo Electron Limited | Selective and isotropic etch of silicon over silicon-germanium alloys and dielectrics; via new chemistry and surface modification |
| US12261053B2 (en) * | 2022-08-10 | 2025-03-25 | Tokyo Electron Limited | Substrate processing with selective etching |
| US20240096639A1 (en) * | 2022-09-15 | 2024-03-21 | Tokyo Electron Limited | Surface modification to achieve selective isotropic etch |
| US20240321584A1 (en) * | 2023-03-22 | 2024-09-26 | Applied Materials, Inc. | Selective oxidation processes for gate-all-around transistors |
| CN116741630B (zh) * | 2023-08-14 | 2023-12-22 | 北京北方华创微电子装备有限公司 | 干法刻蚀方法和半导体工艺设备 |
| WO2025128543A1 (en) * | 2023-12-15 | 2025-06-19 | Lam Research Corporation | Tunable selective lateral etch of silicon using radical species |
Family Cites Families (15)
| Publication number | Priority date | Publication date | Assignee | Title |
|---|---|---|---|---|
| US6858532B2 (en) * | 2002-12-10 | 2005-02-22 | International Business Machines Corporation | Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling |
| KR100670782B1 (ko) * | 2004-11-09 | 2007-01-17 | 한국전자통신연구원 | 상변화 메모리 소자의 제조방법 |
| JP2007056336A (ja) * | 2005-08-25 | 2007-03-08 | Tokyo Electron Ltd | 基板処理装置,基板処理装置の基板搬送方法,プログラム,プログラムを記録した記録媒体 |
| JP2007266455A (ja) * | 2006-03-29 | 2007-10-11 | Tokyo Electron Ltd | 基板処理装置、基板処理方法及び記憶媒体 |
| TWI438827B (zh) | 2006-09-20 | 2014-05-21 | 美國伊利諾大學理事會 | 用於製造可印刷半導體結構、裝置及裝置元件的脫離對策 |
| US20110061810A1 (en) * | 2009-09-11 | 2011-03-17 | Applied Materials, Inc. | Apparatus and Methods for Cyclical Oxidation and Etching |
| US20120083127A1 (en) * | 2010-09-30 | 2012-04-05 | Tokyo Electron Limited | Method for forming a pattern and a semiconductor device manufacturing method |
| KR101244953B1 (ko) * | 2011-07-18 | 2013-03-18 | (재)한국나노기술원 | 전류 저지층 구조의 수직형 발광다이오드 소자 및 그 제조방법 |
| US8808563B2 (en) * | 2011-10-07 | 2014-08-19 | Applied Materials, Inc. | Selective etch of silicon by way of metastable hydrogen termination |
| US8557632B1 (en) | 2012-04-09 | 2013-10-15 | Monolithic 3D Inc. | Method for fabrication of a semiconductor device and structure |
| US20140273525A1 (en) * | 2013-03-13 | 2014-09-18 | Intermolecular, Inc. | Atomic Layer Deposition of Reduced-Leakage Post-Transition Metal Oxide Films |
| US9576809B2 (en) * | 2013-11-04 | 2017-02-21 | Applied Materials, Inc. | Etch suppression with germanium |
| US9236265B2 (en) * | 2013-11-04 | 2016-01-12 | Applied Materials, Inc. | Silicon germanium processing |
| US9577100B2 (en) | 2014-06-16 | 2017-02-21 | Globalfoundries Inc. | FinFET and nanowire semiconductor devices with suspended channel regions and gate structures surrounding the suspended channel regions |
| US9613822B2 (en) * | 2014-09-25 | 2017-04-04 | Applied Materials, Inc. | Oxide etch selectivity enhancement |
-
2017
- 2017-03-02 KR KR1020187028266A patent/KR102323389B1/ko active Active
- 2017-03-02 US US15/448,334 patent/US9984890B2/en active Active
- 2017-03-02 TW TW106106786A patent/TWI625785B/zh active
- 2017-03-02 JP JP2018546013A patent/JP6827633B2/ja active Active
- 2017-03-02 WO PCT/US2017/020503 patent/WO2017151958A1/en not_active Ceased
Similar Documents
| Publication | Publication Date | Title |
|---|---|---|
| JP2019507505A5 (enExample) | ||
| US9984890B2 (en) | Isotropic silicon and silicon-germanium etching with tunable selectivity | |
| JP7026237B2 (ja) | 3D NANDデバイスアプリケーションのための非プラズマ乾式処理によるSiO2に対するSiN選択的エッチング | |
| KR102358262B1 (ko) | 게르마늄 산화물 전-세정 모듈 및 프로세스 | |
| KR102161180B1 (ko) | 실리콘 질화물 유전체 필름을 패터닝하는 방법 | |
| JP6871233B2 (ja) | シリコン含有膜をエッチングするための方法 | |
| US11658037B2 (en) | Method of atomic layer etching of oxide | |
| JP2009532915A5 (enExample) | ||
| JP7332961B2 (ja) | ドライエッチング方法 | |
| CN110050328A (zh) | 半导体处理设备 | |
| TW201820459A (zh) | 使用含硼氣體與氟化氫氣體之原子層蝕刻 | |
| CN107112223B (zh) | 硅化合物用蚀刻气体组合物及蚀刻方法 | |
| WO2021187163A1 (ja) | 基板処理方法及び基板処理装置 | |
| KR20210136143A (ko) | 고도로 선택적인 실리콘 산화물/실리콘 질화물 에칭을 위한 에칭 가스 성분과 패시베이션 가스 성분의 독립적 제어 | |
| WO2020150100A1 (en) | Selective plasma etching of silicon oxide relative to silicon nitride by gas pulsing | |
| JP2018182310A5 (enExample) | ||
| KR20180055835A (ko) | 컨포멀 도펀트 증착을 사용한 3d si 구조들의 컨포멀 도핑 | |
| US11227767B2 (en) | Critical dimension trimming method designed to minimize line width roughness and line edge roughness | |
| CN119731772A (zh) | 实现选择性各向同性蚀刻的表面改性 | |
| JPWO2022173633A5 (enExample) | ||
| CN105304480B (zh) | 锗的干法刻蚀方法 | |
| US12261053B2 (en) | Substrate processing with selective etching | |
| KR102419055B1 (ko) | 다른 재료들에 선택적인 컨포멀한 에칭 방법 | |
| KR20230066784A (ko) | 패턴 형성 방법 | |
| US20240266180A1 (en) | Enhanced etch selectivity using halides |