JP2018054324A - スキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法 - Google Patents

スキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法 Download PDF

Info

Publication number
JP2018054324A
JP2018054324A JP2016187096A JP2016187096A JP2018054324A JP 2018054324 A JP2018054324 A JP 2018054324A JP 2016187096 A JP2016187096 A JP 2016187096A JP 2016187096 A JP2016187096 A JP 2016187096A JP 2018054324 A JP2018054324 A JP 2018054324A
Authority
JP
Japan
Prior art keywords
scan
circuit
input
output
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016187096A
Other languages
English (en)
Other versions
JP6782134B2 (ja
Inventor
智行 前田
Satoyuki Maeda
智行 前田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lapis Semiconductor Co Ltd
Original Assignee
Lapis Semiconductor Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lapis Semiconductor Co Ltd filed Critical Lapis Semiconductor Co Ltd
Priority to JP2016187096A priority Critical patent/JP6782134B2/ja
Publication of JP2018054324A publication Critical patent/JP2018054324A/ja
Application granted granted Critical
Publication of JP6782134B2 publication Critical patent/JP6782134B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Landscapes

  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】検査装置を用いたスキャンテストにおいて、検査装置のリソースに制限がある場合でも、同時に検査する入力部および出力部の数を増加させ検査品質の維持が可能なスキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法を提供すること。【解決手段】複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号を各々入力する複数の入力回路IBUFと、複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号によるテスト結果信号を各々出力する複数の出力回路OBUFと、複数の入力回路IBUFおよび複数の出力回路OBUFに接続されたスキャンチェイン回路18と、複数のスキャンモードのうちの指定されたスキャンモードに対応する入力回路IBUFおよび出力回路OBUFを選択する選択回路16と、を含む。【選択図】図1

Description

本発明は、スキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法に関する。
近年、半導体装置、特にLSI(Large Scale Integrated Cicuit:大規模集積回路)のような半導体装置では回路規模の増大に伴い、回路故障の発生率も増大する傾向にある。このような回路故障の検出漏れを防ぐためには、故障検査のために用いられるテストパターンを増やすことが考えられるが、テストパターンを増やすと、故障検査に必要とされる時間、コスト等が増加するため、好ましくない。そこで、テスト容易化設計を行って、回路故障の検出漏れを減少させる方法が提案されている。
テスト容易化設計を導入することによって、被検査半導体装置の故障検出率を向上させると共に、テストパターンの複雑化、パターン数の増加を軽減して、故障検査に要する時間、コストを抑制することが可能となるからである。
テスト容易化設計技術を用いた半導体装置の故障検査方式として、スキャンテスト方式が知られている。スキャンテスト方式では、半導体装置内に点在する複数のフリップフロップ(Flip Flop。以下「FF」)をシフトレジスタとして動作させることができるように内部配線を形成しておく。すなわち、該複数のFFの出力を半導体装置に設けられた端子から観測できるようにするために、FFの出力を次段のFFのスキャン入力端子に直接入力することが可能なように配線しておく。このように構成されたシフトレジスタはスキャンパス(スキャンチェイン)とも呼ばれ、スキャンパスの入力端子から入力されたテストパターンを出力端子から読み出して期待値と照合し故障検査を行う。スキャンテストにおけるこの動作は、一般にシフト動作と呼ばれる。
一方、上記構成をとると、スキャンFF(順序回路)が半導体装置のI/O(Input/Output:入出力)端子と等価とみなせるため、スキャンテストの対象は組み合わせ回路のみとなる。スキャンFFで区画された半導体装置内の回路、つまり組み合わせ回路を検査する場合には、スキャンパスにテストパターンを設定した後、すなわちスキャンインした後、半導体装置の動作を途中で止めてそのとき半導体装置内部の各ラッチ回路に保持されているデータを上記スキャンパスによるシフトレジスタ動作で出力させる、すなわちスキャンアウトさせることで、半導体装置が論理設計通りの動作を行なうか否か検査する。この半導体装置内部のラッチ回路に保持されているデータをスキャンパスに設定する動作を、一般にキャプチャ動作という。このキャプチャ動作は、基本的には通常動作(システム動作)と同じ動作である。それに対し、上記スキャンイン、スキャンアウトはシフト動作である。
つまり、半導体装置のスキャンテストにおいては、スキャンイン−キャプチャ−スキャンアウトの一連の動作が繰り返される、すなわち、キャプチャ動作とシフト動作が繰り返されることになる。
以上のように、特にLSI等のロジック部に対してはテスト品質向上のためにスキャンテストを用いることが一般的である。また、このようなスキャンテストにおいては自動テストパターン生成(Automatic Test Pattern Generator:ATPG)ツールにより高故障検出率のスキャンテストパターンを容易に生成することができる。その結果、ロジック部のFF−FF間、入力−FF間、FF−出力間にある論理セルの縮退故障(ロジック回路において、入力あるいは出力がハイレベル(以下、「H」)またはロウレベル(以下、「L」)に貼りつく故障)をテストすることができる。
しかしながら、回路規模の増大とともに、生成されるスキャンテストパターンの量も膨大となり、テストのコストも問題となってくる。そこで、現代では、半導体装置の中にスキャンテストパターンの展開回路と圧縮回路を内蔵することで、スキャンテストパターンの量とテスト時間を削減する、圧縮スキャン技術が主流となっている。圧縮スキャン技術を用いることによって、大規模な半導体装置であっても高品質なテストを行うことが可能となる。
圧縮スキャン技術に関する従来技術として、特許文献1に開示された半導体集積回路が知られている。特許文献1に開示された半導体集積回路では、スキャンチェインに対して、圧縮テストを行い、圧縮テストで故障が発見された場合には、そのスキャンチェインに対して圧縮のないスキャンテストを行っている。
ここで、比較例に係る半導体装置を例にとり、比較例に係るスキャンテストについてより詳細に説明する。図5は、比較例に係る半導体装置100にテスタ200を接続した検査系を示している。なお、テスタとは、製造工程完了後のウェハ状態の半導体装置の入力用のパッドから電気信号を入力し、半導体装置で処理された電気信号を出力用のパッドから取り出すことにより半導体装置の電気的特性を検査する検査装置である。テスタは半導体装置の入力用のパッドに入力させる電気信号を生成するドライバ(駆動回路。図5では、「DRV」と表記)、半導体装置から出力された電気信号を判定するコンパレータ(比較器。図5では「CMP」と表記)を備えている。
半導体装置をテスタで検査する場合には、通常半導体装置とテスタとの間に介在しコネクタの機能を発揮するプローブカードを用いる。プローブカードは、半導体装置のパッドに接触させてパッドに電気信号を入力させ、あるいはパッドから電気信号を出力させる針を備えている。
図5に示す比較例に係る検査系では、ドライバ202、204、206、208、および210が、各々針P1、P2、P3、P4、およびP5を介して、SYS CLK(システムクロック)、SYS RST(システムリセット)、SCN MOD(スキャンモード)、SYS CEB(システムイネーブル)、およびSYS DATA IN(システムデータ入力)の各パッド(図5では、「PAD」と表記)に接続されている。また、半導体装置100の出力用のパッドが、針P6を介してコンパレータ220に接続されている。なお、SYS CLKは半導体装置100の本来の機能で動作させる場合(以下、「システム動作」という場合がある)のクロック信号、SYS RSTはリセット信号、SYS CEBはイネーブル信号、およびSYS DATA INはデータ入力であり、SCN MODはスキャンテストのモードを選択する信号を意味する。
半導体装置100は主にロジック回路102から構成され、ロジック回路102の内部にはスキャンテストの対象となるスキャン対象回路104が含まれている。スキャンテストでは、SCN CLK(スキャンクロック)、SCN RST(スキャンリセット)、SCN MOD(スキャンモード)、SCN CEB(スキャンイネーブル)、SCN IN(スキャン入力)、SCN OUT(スキャン出力)の各パッドを準備する必要がある。なお、SCN CLKは半導体装置100においてスキャンテスト実行する場合のクロック信号、SCN RSTはリセット信号、SCN CEBはイネーブル信号、およびSCN DATA INはデータ入力であり、SCN MODはスキャンテストのモードを選択する信号である。半導体装置100では、SCN CLK、SCN RST、SCN CEB、SCN INの各パッドが各々、SYS CLK、SYS RST、SYS CEB、およびSYS DATA INの各パッドと共用化されている。
そして、図5に半導体装置100では、入力バッファ(図5では「IBUF」と表記)120からスキャンテストパターンが入力され、遅延セル(図5では「DC」と表記)132を介してスキャン対象回路104に入力される。スキャン対象回路104ではスキャンチェインが構成されており、このスキャンチェインで処理されたスキャンテストパターンが遅延セル134を通過し出力バッファ(図5では「OBUF」と表記)124に接続されたパッドから針P6を介してテスタ200のコンパレータ220に入力される。
特開2012−198078号公報
ところで、スキャンチェイン数を減らすためには複数のスキャン入力、複数のスキャン出力を専用のPADで用意することが理想である。しかしながら、テスタが具備するドライバDRV、あるいはコンパレータCMPの数(テスタリソース)には限りがあるので、可能な限りパッドは共用化するのが望ましい。例えば、スキャンテスト用のパッドはシステム用のパッドと共用することが可能であり、半導体装置100でも上記のようにスキャン用の各パッドがシステム用の各パッドと共用化されている。
スキャンテストにおいて同時に検査する入力部、出力部の数を多くするとテスタリソースであるドライバDRV、あるいはコンパレータCMPを割り当てられないパッドが発生する場合がある。図5に示す比較例に係る検査系でも、入力バッファ118、遅延セル130、制御用FF140(図5では「C/FF」と表記)、観測用FF(図5では「O/FF」と表記)142、遅延セル132、出力バッファ122を含む経路にテスタリソースが割り当てられていない。
テスタリソースの制限により、ドライバDRV、あるいはコンパレータCMPを全パッドに割り当てられない場合には、割り当てられないパッドに接続される入力バッファは入力禁止に設定し、出力バッファは出力禁止に設定する。一方、割り当てられたパッドに接続される入力バッファは入力固定に設定し、出力パッドは出力固定にする。そして、スキャン対象回路104の入力または出力の最前段、最終段の論理故障が検出できなくなることを避けるために入力バッファの後段に上記の制御用FFを挿入し制御性を維持する。また、出力バッファの前段に上記の観測用FFを挿入し観測性を維持する。
図5に示す比較例に係るスキャン対象回路104でも、制御用FF140、および観測用FF142が設けられている。また、入力バッファ110、112、114、120は入力固定(図5では入力バッファのブロックを実線で示している。以下同様)とされている一方、入力バッファ118は入力禁止(図5では入力バッファのブロックを点線で示している。以下同様)とされている。また、出力バッファ124は出力固定(図5では出力バッファのブロックを実線で示している。以下同様)とされている一方、出力バッファ122は出力禁止(図5では出力バッファのブロックを点線で示している。以下同様)とされている。
このように、同時に検査する入力部、出力部の数が増加すると、テスタリソースの制限からテスタリソースを割り当てられないパッドが増え、スキャンの制御が不可能となる(スキャンテストの経路を組めなくなる)場合も発生する。このような場合には、テスタリソースが割り当てられない回路部分の入力バッファ、入力バッファから制御用FFの間の遅延セルは故障検出できないという問題があった。また、観測が不可能となって、出力バッファ、観測用FFから出力バッファの間の遅延セルの故障が検出できないという問題があった。遅延セルは、半導体装置100の回路レイアウトに応じてタイミング調整用に設けられる回路素子であり、例えば入力バッファから制御用FFの間、あるいは観測用FFから出力バッファの間に配置される。図5に示す半導体装置100でも、入力バッファ118、遅延セル130、あるいは、遅延セル132、出力バッファ122を含む回路ブロックは図5に示す検査系において検査することができない。システム用のパッドと共用化している入力バッファ、あるいは出力バッファの故障が検出できないことは、特にシステムテストを実施しないことが多いEDS(Electrical Die Sorting:ウエハテスト)テストでは問題であった。すなわち、比較例に係る半導体装置100では、テスタリソースの制限によって故障未検出箇所が発生すること(検査品質が維持されないこと)が問題となっていた。
本発明は、上述した課題を解決するためになされたものであり、検査装置を用いたスキャンテストにおいて、検査装置のリソースに制限がある場合でも、同時に検査する入力部および出力部の数を増加させ検査品質の維持が可能なスキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法を提供することを目的とする。
本発明に係るスキャン回路は、複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号を各々入力する複数の入力回路と、前記複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号によるテスト結果信号を各々出力する複数の出力回路と、前記複数の入力回路および前記複数の出力回路に接続されたスキャンチェイン回路と、前記複数のスキャンモードのうちの指定されたスキャンモードに対応する前記入力回路および前記出力回路を選択する選択回路と、を含むものである。
本発明に係る集合スキャン回路は、複数の上記のスキャン回路を含むものである。
本発明に係る半導体装置は、信号を入力させる複数の入力部と、信号を出力させる複数の出力部と、前記複数の入力部および前記複数の出力部に接続されたロジック回路と、を含み、スキャン回路を構成可能な半導体装置であって、前記複数の入力部の少なくとも一部によって複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号を各々入力する複数の入力回路を構成し、前記複数の出力部の少なくとも一部によって前記複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号によるテスト結果信号を各々出力する複数の出力回路を構成し、前記ロジック回路によって前記複数の入力回路および前記複数の出力回路に接続されたスキャンチェイン回路、および前記複数のスキャンモードのうちの指定されたスキャンモードに対応する前記入力回路および前記出力回路を選択する選択回路を構成して前記スキャン回路を構成するものである。
一方、本発明に係る半導体装置の検査方法は、検査装置による検査の対象である半導体装置において、複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号を各々入力する複数の入力回路、前記複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号によるテスト結果信号を各々出力する複数の出力回路、前記複数の入力回路および前記複数の出力回路に接続されたスキャンチェイン回路、および前記複数のスキャンモードのうちの指定されたスキャンモードに対応する前記入力回路および前記出力回路を選択する選択回路を含むスキャン回路を構成し、前記検査装置から前記スキャンモードを順次指定するとともに指定したスキャンモードに対応するスキャンテスト信号を1つの信号生成部から前記複数の入力回路に順次入力させ、前記選択回路により前記指定されたスキャンモードに対応する前記入力回路および前記出力回路を順次選択しつつ前記スキャンチェイン回路によるスキャンテストを実行し、前記スキャンチェイン回路から出力された前記指定されたスキャンモードのスキャンテスト信号によるテスト結果信号を前記複数の出力回路から前記検査装置の1つの信号判定部に順次入力させてテスト結果信号の判定を行うものである。
本発明によれば、検査装置を用いたスキャンテストにおいて、検査装置の入力部、および出力部の数に制限がある場合でも同時に検査するスキャンチェインの数を増加させつつ、検査品質の維持が可能なスキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法を提供することが可能となる。
第1の実施の形態に係る半導体装置の検査系を示すブロック図である。 第1の実施の形態に係る圧縮スキャンテストを説明するブロック図である。 第1の実施の形態に係る圧縮バイパススキャンテストを説明するブロック図である。 第2の実施の形態に係る半導体装置の検査系を示すブロック図である。 比較例に係る半導体装置の検査系を示すブロック図である。
以下、図面を参照して、本発明を実施するための形態について詳細に説明する。
[第1の実施の形態]
図1ないし図3を参照して、本実施の形態に係るスキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法について説明する。図1は、本実施の形態に係るスキャン回路を備えた半導体装置10を、該半導体装置10を検査するテスタ200と共に示した検査系1の図である。また、図2は、テスタ200による検査のうち、圧縮スキャンテストを説明するための図であり、図3は、圧縮バイパススキャンテストを説明するための図である。
圧縮スキャンテストとは、上述したようにスキャンテストパターンのデータ量の削減を実現する手法である。すなわち、パターン展開回路とテスト結果圧縮回路を用いることで内部スキャンチェインの本数を大幅に増やしてスキャンチェイン段数を短くすることにより、スキャンシフト時間を短縮し総テスト実行時間を短縮するテスト方式である。圧縮スキャンテストでは、制御容易性、観測容易性が悪化するため、圧縮しない通常のスキャンテストに比べて故障検出率が減少する。このような問題に対応するために、展開回路と圧縮回路をバイパスする圧縮バイパススキャンモードを設ける必要がある。すなわち、圧縮スキャンテストと圧縮バイパススキャンテストは通常ペアで実行される。
図1に示すように、本実施の形態に係る半導体装置10は、入力バッファ20、22、24、26、28、出力バッファ30、32、およびロジック回路12を含んで構成されている。
ロジック回路12は、半導体装置10の入力バッファ20、22、24、26、28、出力バッファ30、32等の周辺回路を除いた本体部分の回路であり、モードエントリ回路16(選択回路)、スキャンチェイン回路18を備えたスキャン対象回路14を含んで構成されている。
入力バッファ20には、パッドを介してSYS CLK(システムクロック)が入力される。本実施の形態では、SYS CLK入力はSCN CLK(スキャンクロック)入力と共用化されている。入力バッファ22には、パッドを介してSYS RST(システムリセット)が入力される。本実施の形態では、SYS RST入力はSCN RST(スキャンリセット)入力と共用化されている。入力バッファ24には、パッドを介してSYS CEB(システムイネーブル)が入力される。本実施の形態では、SYS CEB入力はSCN CEB(スキャンイネーブル)入力と共用化されている。入力バッファ26には、パッドを介してSYS DATA IN1(システムデータ入力1)が入力される。本実施の形態では、SYS DATA IN1入力はSCN IN1(スキャン入力1)入力と共用化されている。入力バッファ28には、パッドを介してSYS DATA IN2(システムデータ入力2)が入力される。本実施の形態では、SYS DATA IN2入力はSCN IN2(スキャン入力2)入力と共用化されている。
一方、出力バッファ30からは、パッドを介してSYS DATA OUT1(システムデータ出力1)が出力される。本実施の形態では、SYS DATA OUT1出力はSCN DATA1(スキャン出力1)出力と共用化されている。出力バッファ32からは、パッドを介してSYS DATA OUT2(システムデータ出力2)が出力される。本実施の形態では、SYS DATA OUT2出力はSCN DATA2(スキャン出力2)出力と共用化されている。
スキャン対象回路14に含まれるモードエントリ回路16は、テスタ200あるいは図示しないシステム制御部から受け取ったコマンドに基づいて各スキャンテストのモードを設定し、スキャンテストのイネーブル信号であるSCN MOD(スキャンモード)信号、スキャンテストのモードを設定するCMP BYP SCN MOD(圧縮バイパススキャンモード)信号を出力する。スキャンチェイン回路18は、スキャンテストの対象となる回路であり、システム動作時に通常動作する各回路がスキャンテスト時にスキャンチェイン回路18として構成される。
ロジック回路12は、さらに、OR回路60、MUX論理(Multiplexer。
図1では、「MUX」と表記)54、56、制御用FF50、観測用FF52、セレクタ62、64、66、68、遅延セル40、42、44、46、インバータ70、72を含んでいる。本実施の形態では、制御用FF50がスキャン入力1(SCN IN1)の入力バッファ26の後段に挿入され、MUX論理54がスキャン入力2(SCN IN2)の入力バッファ28の後段に挿入されている。また、MUX論理56および観測用FF52がスキャン出力1(SCN OUT1)の出力バッファ30の前段に挿入されている。
なお、MUX論理54は本実施の形態に係る多重回路であり、MUX論理56は本実施の形態に係る多重分離回路である。
テスタ200は、ドライバ202、204、206、208、およびコンパレータ220を備えている。また、テスタ200には針P1、P2、P3、P4、P5、P6、P7を備えたプローブカード230がセットされている。半導体装置10の入力バッファ20に接続されたパッドに針P1が接触し、ドライバ202に接続されている。入力バッファ22に接続されたパッドに針P2が接触し、ドライバ204に接続されている。入力バッファ24に接続されたパッドに針P3が接触し、ドライバ206に接続されている。入力バッファ26に接続されたパッドに針P4が接触し、ドライバ208に接続されている。
入力バッファ28に接続されたパッドに針P5が接触し、ドライバ208に接続されている。一方、出力バッファ30に接続されたパッドに針P6が接触し、コンパレータ220に接続され、出力バッファ32に接続されたパッドに針P7が接触し、コンパレータ220に接続されている。なお、ドライバは本実施の形態に係る信号生成部であり、コンパレータは本実施の形態に係る信号判定部である。
テスタ200を用いた検査(EDSテスト)では、このようにプローブカード230の針を各パッドに接触させ、テスタのドライバおよびコンパレータを半導体装置10と電気的に接続し、半導体装置10のロジック回路12を検査(テスト)する。
本実施の形態に係るプローブカード230では、針P4とP5とが根本で接続され、テスタ200のドライバ208に接続されている。また、針P6とP7とが根本で接続され、テスタ200のコンパレータ220に接続されている。つまり、本実施の形態に係る半導体装置10では、SYS DATA IN1(SCN IN1)用のテスト信号を出力するドライバと、SYS DATA IN2(SCN IN2)用のテスト信号を出力するドライバとが共用化されている。また、SYS DATA OUT1(SCN OUT1)信号を入力するコンパレータと、SYS DATA OUT2(SCN OUT2)信号を入力するコンパレータとが共用化されている。本実施の形態に係る半導体装置10では、このようにドライバおよびコンパレータの共用化を図ることによって、テスタリソースの制限に対応している。
半導体装置10は複数のテストモードを有しているが、本実施の形態では、圧縮スキャンテストを実行する圧縮スキャンモードと、圧縮処理および伸張処理を解除した圧縮バイパススキャンテストを実行する圧縮バイパススキャンモードの2種類のテストモードを実行するものとして説明する。
半導体装置10は、テストモードの設定用の信号として、スキャンモード(SCN MOD)信号と、圧縮バイパススキャンモード(CMP BYP SCN MOD)の2つの制御信号を備えている。スキャンモード(SCN MOD)信号はスキャンテストのイネーブル信号(すなわち、スキャンテストモードとシステム制御モードとを切り替える信号)であり、図1に示すように制御用FF50、セレクタ62、64、66、68に入力されている。セレクタ62、64、66、68はSCN MOD=Hでスキャンモードが選択され、SCN MOD=Lでシステム制御が選択される。
一方、圧縮バイパススキャンモード(CMP BYP SCN MOD)信号は圧縮スキャンモードと圧縮バイパススキャンモードとを切り替える信号であり、MUX論理54、56、およびセレクタ62、64、66、68に入力されている。圧縮バイパススキャンモード信号は、CMP BYP SCN MOD=Lで圧縮スキャンモードが選択され、CMP BYP SCN MOD=Hで圧縮バイパススキャンモードが選択される。また、圧縮バイパススキャンモード信号によって入力バッファ26、28、および出力バッファ30、32の設定(禁止/固定)がなされる。なお、圧縮バイパススキャンモード信号の論理は、CMP BYP SCN MOD=Hで固定、CMP BYP SCN MOD=Lで禁止である。
ここで、スキャンモード信号と圧縮バイパススキャンモード信号には専用のパッドを設ける必要はないので、本実施の形態に係る半導体装置10では、図1に示すように、テスタから(あるいはシステムデータ入力から)モードエントリ回路16にコマンドエントリしてこれらの制御信号が設定されるようにしている。
上述したように、スキャンテストを実行する場合、スキャンクロック(SCN CLK)、スキャンリセット(SCN RST)、スキャンイネーブル(SCN CEB)、2つのスキャン入力(SCN IN1、SCN IN2)、2つのスキャン出力(SCN OUT1、SCN OUT2)用のパッドが必要となる。本実施の形態に係る半導体装置10では、これら7つのパッドはシステム動作時のパッドと共用化されているので、これらのパッドをスキャンテスト用のパッドに指定するためにOR回路60が設けられている。すなわち、OR回路60にはシステム制御信号(図1では、「SYS CONT」と表記)とスキャンモード(SCN MOD)信号が入力される。
次に、図2を参照して、本実施の形態に係る半導体装置10の圧縮スキャンテスト時における動作についてより詳細に説明する。本実施の形態に係る圧縮スキャンテストでは、スキャンテストパターンの入力パッドとしてスキャン入力2(SCN IN2)、出力パッドとしてスキャン出力2(SCN OUT2)が用いられる。
圧縮スキャンテストでは、テスタ200からコマンドを発行し、モードエントリ回路16を介してスキャンモード信号をSCN MOD=Hに設定し、圧縮バイパススキャンモード信号をCMP BYP SCN MOD=Lに設定する。この設定により、半導体装置10はスキャンテストモードに設定され(スキャンテストモードがイネーブルとされ)、かつスキャンテストが圧縮スキャンテストに設定される。
より具体的には、SCN MOD=Hの設定によってOR回路60の出力がHに固定され、入力バッファ20、22、24が入力固定(図2では、入力バッファのブロック外周を実線で示している)に設定される。これは、スキャンテスト中に入力制御がシステム側制御に切り替わらないようにするためである。また、制御用FF50はシステム入力に切り替えられる。セレクタ62および66はスキャンテスト側に切り替えられるが、この際CMP BYP SCN MOD=Lなので、入力バッファ26が入力禁止(図2では、入力バッファのブロック外周を点線で示している)、出力バッファ30が出力禁止(図2では、出力バッファのブロック外周を点線で示している)に設定される。
一方、セレクタ64および68はスキャンテスト側に切り替えられるが、この際CMP BYP SCN MOD=Lでかつ間に各々インバータ70および72を介しているので、入力バッファ28が入力固定、出力バッファ32が出力固定に設定される。
CMP BYP SCN MOD=Lと設定することにより、MUX論理54ではスキャン入力2(SCN IN2)側の経路が選択され、MUX論理56ではスキャン出力1(SCN OUT1)側の経路が選択される。
本実施の形態ではテスタ200のドライバ208はスキャン入力1と2(SCN IN1、2)とで共用化され、コンパレータ220はスキャン出力1と2(SCN OUT1、2)とで共用化されているが、上記の設定により有効になる半導体装置10の入力および出力は、スキャン入力2(SCN IN2)およびスキャン出力2(SCN OUT2)となる。従って、入力バッファ28に接続されたパッドからテスタ200によるスキャンテストパターンが入力され、図2に点線で示す経路に従って出力バッファ32に接続されたパッドからテスト結果がテスタ200に送られ、本圧縮スキャンテストのスキャンシフト動作が実行される。つまり、スキャンチェイン回路18の圧縮スキャンテストが実行される。
先述したスキャンキャプチャ動作を実行することによりスキャンチェイン回路18のFFとFFの間の故障検出が可能であり、本実施の形態ではさらにスキャン入力2(SCN IN2)のパッドとFF間にある入力バッファ28と遅延セル42の故障検出が可能である。また、スキャンチェイン回路18のFFとスキャン出力2(SCN OUT2)との間にある遅延セル46と出力バッファ32の故障検出が可能である。
テスタ200から半導体装置100に入力する圧縮スキャンテストのテストパターンは、上記の条件、すなわち圧縮バイパススキャンモード(CMP BYP SCN MOD)信号=L(ディスエーブル)、入力=スキャン入力2(SCN IN2)、出力=スキャン出力2(SCN OUT2)を自動テストパターン生成(ATPG)ツールに指定し生成する。
次に、図3を参照して、本実施の形態に係る半導体装置10の圧縮バイパススキャンテスト時における動作についてより詳細に説明する。本実施の形態に係る圧縮バイパススキャンテストでは、スキャンテストパターンの入力としてスキャン入力1(SCN IN1)、出力としてスキャン出力1(SCN OUT1)が用いられる。
圧縮バイパススキャンテストでは、テスタ200からコマンドを発行し、モードエントリ回路16を介してスキャンモード信号をSCN MOD=Hに設定し、圧縮バイパススキャンモード信号をCMP BYP SCN MOD=Hに設定する。この設定により、半導体装置10は圧縮バイパススキャンモードに設定され(スキャンテストモードがディスエーブルとされ)、かつスキャンテストが圧縮バイパススキャンテストに設定される。
より具体的には、SCN MOD=Hの設定によってOR回路60の出力がHに固定され、入力バッファ20、22、24が入力固定に設定される。また、制御用FF50はシステム入力に切り替えられる。セレクタ62および66はスキャンテスト側に切り替えられるが、この際CMP BYP SCN MOD=Hなので、入力バッファ26が入力固定、出力バッファ30が出力固定に設定される。
一方、セレクタ64および68はスキャンテスト側に切り替えられるが、この際CMP BYP SCN MOD=Hでかつ間に各々インバータ70および72を介しているので、入力バッファ28が入力禁止、出力バッファ32が出力禁止に設定される。
CMP BYP SCN MOD=Hと設定することにより、MUX論理54ではスキャン入力1(SCN IN1)側の経路が選択され、MUX論理56ではスキャン出力2(SCN OUT2)側の経路が選択される。
本実施の形態ではテスタ200のドライバ208はスキャン入力1と2(SCN IN1、2)とで共用化され、とコンパレータ220はスキャン出力1と2(SCN OUT1、2)とで共用化されているが、上記の設定により有効になる半導体装置10の入力および出力は、スキャン入力1(SCN IN1)およびスキャン出力1(SCN OUT1)となる。従って、入力バッファ26に接続されたパッドからテスタ200によるスキャンテストパターンが入力され、図3に点線で示す経路に従って出力バッファ30に接続されたパッドからテスト結果がテスタ200に送られ、本圧縮バイパススキャンテストのスキャンシフト動作が実行される。つまり、スキャンチェイン回路18の圧縮バイパススキャンテストが実行される。
先述したスキャンキャプチャ動作を実行することによりスキャンチェイン回路18のFFとFFの間の故障検出が可能であり、本実施の形態ではさらにスキャン入力1(SCN IN1)のパッドとFF間にある入力バッファ26と遅延セル40の故障検出が可能である。また、スキャンチェイン回路18のFFとスキャン出力1(SCN OUT1)との間にある遅延セル44と出力バッファ30の故障検出が可能である。
テスタ200から半導体装置100に入力する圧縮バイパススキャンテストのテストパターンは、上記の条件、すなわち圧縮バイパススキャンモード(CMP BYP SCN MOD)信号=H(イネーブル)、入力=スキャン入力1(SCN IN1)、出力=スキャン出力1(SCN OUT1)を自動テストパターン生成(ATPG)ツールに指定し生成する。
以上のように構成された本実施の形態に係る半導体装置10の構成の要点は以下のとおりである。
(1)テスタ200の1つのドライバを共通に使用する2つのスキャン入力(SCN IN1、SCN IN2)、およびテスタ200の1つのコンパレータを共通に使用する2つのスキャン出力(SCN OUT1、SCN OUT2)を配置する。この際、2つのスキャン入力で1つのドライバが共用化されるように、また2つのスキャン出力で1つのコンパレータが共用化されるようにプローブカードを構成する。
(2)2つのスキャン入力(SCN IN1、SCN IN2)に対応する2つの入力バッファ(入力バッファ26、28)のイネーブル論理を相互に反転させ、2つのスキャン出力(SCN OUT1、SCN OUT2)に対応する2つの出力バッファ(出力バッファ30、32)のイネーブル論理を相互に反転させる。
(3)圧縮バイパススキャンモード信号でスキャンテストの経路を切り替える2つのMUX論理を設ける。
上記の構成を備えた本実施の形態に係る半導体装置10によれば、圧縮スキャンテストを実行することにより、スキャン入力2(SCN IN2)から入力された圧縮スキャンテストパターンが、入力バッファ28、遅延セル42、MUX論理54を通過してスキャンチェイン回路18に入力され、スキャンチェイン回路18から出力されたテスト結果信号が遅延セル46、出力バッファ32を通過してスキャン出力2(SCN OUT2)から出力されることで、スキャン入力2(SCN IN2)からスキャン出力2(SCN OUT2)のスキャンシフト動作が可能となる。この圧縮スキャンテストにより、スキャンキャプチャ動作で入力バッファ28、遅延セル42、ロジック回路12、遅延セル46、出力バッファ32の故障が検出される。このとき、入力バッファ26は入力禁止、出力バッファ30出力禁止となるので、スキャン入力1(SCN IN1)とスキャン出力1(SCN OUT1)はオープン(開放)と同等である。
次の圧縮バイパススキャンテストでは、スキャン入力1(SCN IN1)から入力された圧縮バイパススキャンテストパターンが、入力バッファ26、遅延セル40、MUX論理54を通過してスキャンチェイン回路18に入力され、スキャンチェイン回路18から出力されたテスト結果がMUX論理56、遅延セル44、出力バッファ30を通過してスキャン出力1(SCN OUT1)から出力されることで、スキャン入力1(SCN IN1)からスキャン出力1(SCN OUT1)のスキャンシフト動作が可能となる。
このとき、入力バッファ28は入力禁止、出力バッファ32出力禁止となり、スキャン入力2(SCN IN2)とスキャン出力2(SCN OUT2)はオープンと同等である。
以上のように、本実施の形態に係る半導体装置10によれば、比較例に係る半導体装置100ではスキャンキャプチャ動作により検出することが出来なかった入力バッファ26、遅延セル40、遅延セル44、出力バッファ30の故障が検出可能となる。つまり、半導体装置10において同時に検査する入力部、出力部の数を増やすと、テスタ200のドライバ、あるいはコンパレータ等のテスタのリソースによる制限が発生するが、上記の構成を有する本実施の形態に係る半導体装置10によれば、従来は検出できなかった入力バッファ、出力バッファ、遅延セル等の故障の検出が可能となり、テスタリソースが制限される場合でも品質を落とさずテストできるという効果が得られる。
なお、本実施の形態では、圧縮スキャンテストをスキャン入力2(SCN IN2)側の経路で行い、圧縮バイパススキャンテストをスキャン入力1(SCN IN1)側の経路で行う形態を例示して説明したが、この関係は逆であってもよい。すなわち、圧縮スキャンテストをスキャン入力1(SCN IN1)側の経路で行い、圧縮バイパススキャンテストをスキャン入力2(SCN IN2)側の経路で行う形態としてもよい。
[第2の実施の形態]
図4を参照して、本実施の形態に係る半導体装置300について説明する。図4は、本実施の形態に係るスキャン回路を備えた半導体装置300を、該半導体装置300を検査するテスタ200と共に示した検査系2の図である。上記実施の形態に係る半導体装置10では、スキャンチェイン回路が1つ、スキャン入力(SCN IN)およびスキャン出力(SCN OUT)が2系統の形態を例示して説明したが、これに限らず、スキャン入力(SCN IN)およびスキャン出力(SCN OUT)を3系統以上の形態としてもよい。その際、半導体装置300が以下の条件を充足するように構成することにより、スキャン入力(SCN IN)およびスキャン出力(SCN OUT)を3系統以上としても全ての入力バッファ、出力バッファ、あるいは遅延セルの故障検出が可能となる。
(1)テスタ200の1つのドライバを共通に使用する複数のスキャン入力(SCN IN)、およびテスタ200の1つのコンパレータを共通に使用する複数のスキャン出力(SCN OUT)を配置する。この際、複数のスキャン入力(SCN IN)で1つのドライバが共用化されるように、また複数のスキャン出力(SCN OUT)で1つのコンパレータが共用化されるようにプローブカードを構成する。
(2)テスタ200のドライバを共通にしたスキャン入力数と同じ数のスキャンテスト項目を用意し、それぞれのスキャンモード信号(後述する、SCN MOD A、SCN MOD B)を生成可能なように構成する。
(3)各スキャンモード信号ごとに所定の入力バッファ、および出力バッファをイネーブル可能なように構成する。
(4)各スキャンチェインの前段にMUX論理を挿入し、他のスキャン入力(SCN IN)と切り替え可能なように構成する。
(5)スキャンチェインに接続されないスキャン出力(SCN OUT)の観測用FF前段にMUX論理を挿入し、スキャンチェインからのテスト結果が外部に出力されるように構成する。
図4は、上記の条件を充足する、スキャンテスト項目がスキャンモードA、スキャンモードB、スキャンモードC(図示省略)の3つで、スキャンチェイン回路がスキャンチェイン回路308、309の2つ、スキャン入力(SCN IN)、およびスキャン出力(SCN OUT)が各々6系統の半導体装置300、および半導体装置300に接続されるテスタ200、プローブカード230を示している。
以下、半導体装置300の回路構成およびその動作についてより詳細に説明する。半導体装置300は半導体装置10の変形例であり、半導体装置10と同様の名称の構成は同様の機能を有するものとし詳細な説明を省略する。図4に示すように、半導体装置300は、ロジック回路302、スキャン対象回路304を含んで構成されている。また、スキャン対象回路304はモードエントリ回路306、スキャンチェイン回路308、309を備えている。
モードエントリ回路306はテスタ200からのコマンドによりスキャンモードの設定を行う。図4に示すように、半導体装置300はモード設定用の制御信号として、スキャンモード(SCN MOD)、スキャンモードA(SCN MOD A)、スキャンモードB(SCN MOD B)を有している。スキャンモード(SCN MOD)はスキャンモードのイネーブル信号であり、Hでイネーブル、Lでディスエーブルである。以下では、SCN MOD=Hに設定されているものとして説明する。スキャンモードA(SCN MOD A)、スキャンモードB(SCN MOD B)はスキャンモードであり、本実施の形態では、互いが半導体装置300の圧縮スキャンテストの一部とされている。
半導体装置300は、このほかにスキャンモードC(SCN MOD C)を有しており、本実施の形態に係るスキャンモードC(SCN MOD C)は圧縮バイパススキャンテストとされている。
半導体装置300は、図4に示すように、スキャン入力としてスキャン入力1(SCN IN1)ないしスキャン入力6(SCN IN6)6系統を、スキャン出力としてスキャン出力1(SCN OUT1)ないしスキャン出力6(SCN OUT6)の6系統を有している。以下、スキャン入力1(SCN IN1)ないしスキャン入力6(SCN IN6)の各々と、対応するスキャン出力1(SCN OUT1)ないしスキャン出力6(SCN OUT6)の各々の組を入出力系i(i=1〜6)という場合がある。
スキャン入力1(SCN IN1)ないしスキャン入力3(SCN IN3)、およびスキャン出力1(SCN OUT1)ないしスキャン出力3(SCN OUT3)の組はスキャンチェイン回路308をテストするための入出力である。また、スキャン入力4(SCN IN4)ないしスキャン入力6(SCN IN6)、およびスキャン出力4(SCN OUT4)ないしスキャン出力6(SCN OUT6)の組はスキャンチェイン回路309をテストするための入出力である。以下、スキャンチェイン回路308をテストするための回路系統をテスト系1(図4では、「TST1」と表記)、スキャンチェイン回路309をテストするための回路系統をテスト系2(図4では、「TST2」と表記)と称する場合がある。
図4に示すように、半導体装置300では、スキャンモードAをSCN MOD A=Hに設定することにより、入出力系1によりスキャンチェイン回路308がテストされ、入出力系4によりスキャンチェイン回路309がテストされる。また、スキャンモードBをSCN MOD B=Hに設定することにより、入出力系2によりスキャンチェイン回路308がテストされ、入出力系5によりスキャンチェイン回路309がテストされる。
さらに、スキャンモードA、BをSCN MOD A=L、SCN MOD B=Lに設定することにより、入出力系3によりスキャンチェイン回路308がテストされ、入出力系6によりスキャンチェイン回路309がテストされる。本実施の形態では、このようにテスト系1とテスト系2とはスキャンモードA、B、C(SCN MOD A、B、C)のうちの同じモードのテストを実行するように構成されているので、以下テスト系1を例示して説明する。
テスト系1においてスキャンモードAがSCN MOD A=H、スキャンモードBがSCN MOD B=Lに設定されると、入力バッファ316が入力固定、出力バッファ330が出力固定に設定される。一方、入力バッファ318、320が入力禁止、出力バッファ332、334が出力禁止に設定される。その結果、テスタ200のドライバ208からスキャンモードA(SCN MOD A)のスキャンテストパターンが入力バッファ316、遅延セル350、MUX論理400を介してスキャンチェイン回路308に入力される。また、スキャンチェイン回路308から出力されたテスト結果がMUX論理404、遅延セル362、出力バッファ330を介してコンパレータ220に入力され、テスト結果が判定される。
一方、テスト系1においてスキャンモードAがSCN MOD A=L、スキャンモードBがSCN MOD B=Hに設定されると、入力バッファ318が入力固定、出力バッファ332が出力固定に設定される。一方、入力バッファ316、320が入力禁止、出力バッファ330、334が出力禁止に設定される。その結果、テスタ200のドライバ208からスキャンモードB(SCN MOD B)のスキャンテストパターンが入力バッファ318、遅延セル352、MUX論理400を介してスキャンチェイン回路308に入力される。また、スキャンチェイン回路308から出力されたテスト結果がMUX論理406、遅延セル364、出力バッファ332を介してコンパレータ220に入力され、テスト結果が判定される。
さらに、テスト系1においてスキャンモードAがSCN MOD A=L、スキャンモードBがSCN MOD B=Lに設定されると、入力バッファ320が入力固定、出力バッファ334が出力固定に設定される。一方、入力バッファ316、318が入力禁止、出力バッファ330、332が出力禁止に設定される。その結果、テスタ200のドライバ208からスキャンモードC(SCN MOD C)のスキャンテストパターンが入力バッファ320、遅延セル354、MUX論理400を介してスキャンチェイン回路308に入力される。また、スキャンチェイン回路308から出力されたテスト結果が遅延セル366、出力バッファ334を介してコンパレータ220に入力され、テスト結果が判定される。
以上のように、本実施の形態に係る半導体装置300によれば、テスト系1において、入出力系3に加え、リソースの制限によりテスタリソースを割り当てられない入出力系1および入出力系2のテストも可能となる。その結果、本来であれば未テストの状態とされる入力バッファ316、318、遅延セル350、352、362、364、出力バッファ330、332のテストが可能となる。
上記のテスト系1と同様の動作により、本実施の形態に係る半導体装置300によれば、テスト系2において、入出力系6に加え、リソースの制限によりテスタリソースを割り当てられない入出力系4および入出力系5のテストも可能となる。その結果、本来であれば未テストの状態とされる入力バッファ322、324、遅延セル356、358、368、370、出力バッファ336、338のテストが可能となる。
以上詳述したように、本実施の形態に係るスキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法によれば、テスタリソースの制限によりプローブカードの針を割り当てることができなかったパッドに針を接触させ、スキャンシフト動作およびキャプチャ動作を可能とすることで、未検出であった入力バッファ、出力バッファ、遅延セルの故障を検出することが可能となる。
なお、上記各実施の形態では、スキャンテストとして圧縮スキャンテスト、圧縮バイパススキャンテストを行う形態を例示して説明したが、これに限られずその他のスキャンテスト、例えば非圧縮のスキャンテストを行う形態としてもよい。
1、2 検査系
10 半導体装置
12 ロジック回路
14 スキャン対象回路
16 モードエントリ回路
18 スキャンチェイン回路
20〜28 入力バッファ(IBUF)
30、32 出力バッファ(OBUF)
40〜46 遅延セル(DC)
50 制御用FF(C/FF)
52 観測用FF(O/FF)
54、56 MUX論理(MUX)
60 OR回路
62〜68 セレクタ
70、72 インバータ
100 半導体装置
102 ロジック回路
104 スキャン対象回路
110〜120 入力バッファ(IBUF)
122、124 出力バッファ(OBUF)
130〜136 遅延セル(DC)
140 制御用FF(C/FF)
142 観測用FF(O/FF)
200 テスタ
202〜210 ドライバ(DRV)
220、222 コンパレータ(CMP)
230 プローブカード
300 半導体装置
302 ロジック回路
304 スキャン対象回路
306 モードエントリ回路
308 スキャンチェイン回路
309 スキャンチェイン回路
310〜326 入力バッファ(IBUF)
330〜340 出力バッファ(OBUF)
350〜372 遅延セル(DC)
380〜386 制御用FF(C/FF)
390〜396 観測用FF(O/FF)
400〜410 MUX論理(MUX)
420 OR回路
422〜444 セレクタ
P1〜P15 針

Claims (10)

  1. 複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号を各々入力する複数の入力回路と、
    前記複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号によるテスト結果信号を各々出力する複数の出力回路と、
    前記複数の入力回路および前記複数の出力回路に接続されたスキャンチェイン回路と、 前記複数のスキャンモードのうちの指定されたスキャンモードに対応する前記入力回路および前記出力回路を選択する選択回路と、
    を含むスキャン回路。
  2. 前記複数の入力回路の各々は入力バッファを備え、
    前記複数の出力回路の各々は出力バッファを備え、
    前記選択回路は、前記指定されたスキャンモードのスキャンテスト信号を入力する前記入力回路の前記入力バッファを入力固定に設定し、前記指定されたスキャンモードのスキャンテスト信号によるテスト結果信号を出力する前記出力回路の前記出力バッファを出力固定に設定する
    請求項1に記載のスキャン回路。
  3. 前記選択回路は、前記指定されたスキャンモード以外のスキャンテスト信号を入力する前記入力回路の前記入力バッファを入力禁止に設定し、前記指定されたスキャンモード以外のスキャンテスト信号によるテスト結果信号を出力する前記出力回路の前記出力バッファを出力禁止に設定する
    請求項2に記載のスキャン回路。
  4. 前記複数の入力回路の各々が接続されるとともに前記複数の入力回路のいずれかを選択して前記スキャンチェイン回路に接続させる多重回路と、
    前記スキャンチェイン回路に接続されるとともに前記複数の出力回路のいずれかを選択して前記テスト結果信号を出力させる多重分離回路と、をさらに含み、
    前記選択回路は、前記指定されたスキャンモードに対応する前記スキャンテスト信号が前記スキャンチェイン回路に入力されるように前記多重回路を動作させるとともに、前記指定されたスキャンモードに対応する前記スキャンテスト信号によるテスト結果信号が出力されるように前記多重分離回路を動作させる
    請求項1〜請求項3のいずれか1項に記載のスキャン回路。
  5. 前記多重分離回路の出力側に接続されるとともに前記スキャンチェイン回路に接続されない回路のスキャン出力を観測する観測用フリップフロップをさらに含む
    請求項4に記載のスキャン回路。
  6. 前記複数の入力回路の少なくとも1つは前記スキャンテスト信号を遅延させる遅延セルを備え、
    前記複数の出力回路の少なくとも1つは前記テスト結果信号を遅延させる遅延セルを備える
    請求項1〜請求項5のいずれか1項に記載のスキャン回路。
  7. 複数の請求項1〜請求項6のいずれか1項に記載のスキャン回路を含む
    集合スキャン回路。
  8. 信号を入力させる複数の入力部と、
    信号を出力させる複数の出力部と、
    前記複数の入力部および前記複数の出力部に接続されたロジック回路と、を含み、スキャン回路を構成可能な半導体装置であって、
    前記複数の入力部の少なくとも一部によって複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号を各々入力する複数の入力回路を構成し、
    前記複数の出力部の少なくとも一部によって前記複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号によるテスト結果信号を各々出力する複数の出力回路を構成し、
    前記ロジック回路によって前記複数の入力回路および前記複数の出力回路に接続されたスキャンチェイン回路、および前記複数のスキャンモードのうちの指定されたスキャンモードに対応する前記入力回路および前記出力回路を選択する選択回路を構成して前記スキャン回路を構成する
    半導体装置。
  9. 前記複数の入力部の少なくとも一部が前記ロジック回路の動作時と前記スキャンチェイン回路の動作時とで共用化され、
    前記複数の出力部の少なくとも一部が前記ロジック回路の動作時と前記スキャンチェイン回路の動作時とで共用化される
    請求項8に記載の半導体装置。
  10. 検査装置による検査の対象である半導体装置において、複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号を各々入力する複数の入力回路、前記複数のスキャンモードの予め定められたスキャンモードに対応するスキャンテスト信号によるテスト結果信号を各々出力する複数の出力回路、前記複数の入力回路および前記複数の出力回路に接続されたスキャンチェイン回路、および前記複数のスキャンモードのうちの指定されたスキャンモードに対応する前記入力回路および前記出力回路を選択する選択回路を含むスキャン回路を構成し、
    前記検査装置から前記スキャンモードを順次指定するとともに指定したスキャンモードに対応するスキャンテスト信号を1つの信号生成部から前記複数の入力回路に順次入力させ、
    前記選択回路により前記指定されたスキャンモードに対応する前記入力回路および前記出力回路を順次選択しつつ前記スキャンチェイン回路によるスキャンテストを実行し、
    前記スキャンチェイン回路から出力された前記指定されたスキャンモードのスキャンテスト信号によるテスト結果信号を前記複数の出力回路から前記検査装置の1つの信号判定部に順次入力させてテスト結果信号の判定を行う
    半導体装置の検査方法。
JP2016187096A 2016-09-26 2016-09-26 スキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法 Active JP6782134B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2016187096A JP6782134B2 (ja) 2016-09-26 2016-09-26 スキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2016187096A JP6782134B2 (ja) 2016-09-26 2016-09-26 スキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法

Publications (2)

Publication Number Publication Date
JP2018054324A true JP2018054324A (ja) 2018-04-05
JP6782134B2 JP6782134B2 (ja) 2020-11-11

Family

ID=61835632

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016187096A Active JP6782134B2 (ja) 2016-09-26 2016-09-26 スキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法

Country Status (1)

Country Link
JP (1) JP6782134B2 (ja)

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6378075A (ja) * 1986-09-19 1988-04-08 Mitsubishi Electric Corp 論理装置
JPH01217278A (ja) * 1988-02-26 1989-08-30 Mitsubishi Electric Corp 集積回路
JPH04102129A (ja) * 1990-08-21 1992-04-03 Nec Corp シフトパス回路
JPH07270494A (ja) * 1994-03-30 1995-10-20 Toshiba Corp 集積回路装置
WO2000073809A1 (fr) * 1999-05-26 2000-12-07 Hitachi, Ltd. Circuit integre a semi-conducteur
JP2000353783A (ja) * 1999-04-05 2000-12-19 Matsushita Electric Ind Co Ltd 半導体装置
US20020021140A1 (en) * 2000-06-30 2002-02-21 Whetsel Lee D. Semiconductor test system and method
US20020157050A1 (en) * 1998-02-25 2002-10-24 Whetsel Lee D. Position independent testing of circuits
US20030098457A1 (en) * 2001-11-28 2003-05-29 Whetsel Lee D. Scan testing system, method, and apparatus
JP2003202362A (ja) * 2002-01-08 2003-07-18 Nec Microsystems Ltd スキャンテスト回路及びスキャンテスト方法
JP2004037254A (ja) * 2002-07-03 2004-02-05 Matsushita Electric Ind Co Ltd スキャンテスト装置
JP2005533251A (ja) * 2002-07-12 2005-11-04 フォームファクター,インコーポレイテッド Dutの故障に起因するテスト信号の劣化の補償
JP2008512682A (ja) * 2004-09-09 2008-04-24 フォームファクター, インコーポレイテッド 遠隔でテストチャンネルをバッファリングする方法および装置
US20090106613A1 (en) * 2007-10-23 2009-04-23 Lsi Corporation Testing a circuit with compressed scan chain subsets
JP2009540297A (ja) * 2006-06-06 2009-11-19 フォームファクター, インコーポレイテッド テスタのドライブおよび測定能力を広げる方法
JP2015179821A (ja) * 2014-03-19 2015-10-08 株式会社アドバンテスト 検査用ウエハおよび試験システム
US20170184665A1 (en) * 2015-12-28 2017-06-29 Qualcomm Incorporated Dynamically configurable shared scan clock channel architecture

Patent Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6378075A (ja) * 1986-09-19 1988-04-08 Mitsubishi Electric Corp 論理装置
JPH01217278A (ja) * 1988-02-26 1989-08-30 Mitsubishi Electric Corp 集積回路
JPH04102129A (ja) * 1990-08-21 1992-04-03 Nec Corp シフトパス回路
JPH07270494A (ja) * 1994-03-30 1995-10-20 Toshiba Corp 集積回路装置
US20020157050A1 (en) * 1998-02-25 2002-10-24 Whetsel Lee D. Position independent testing of circuits
JP2000353783A (ja) * 1999-04-05 2000-12-19 Matsushita Electric Ind Co Ltd 半導体装置
WO2000073809A1 (fr) * 1999-05-26 2000-12-07 Hitachi, Ltd. Circuit integre a semi-conducteur
US20020021140A1 (en) * 2000-06-30 2002-02-21 Whetsel Lee D. Semiconductor test system and method
US20030098457A1 (en) * 2001-11-28 2003-05-29 Whetsel Lee D. Scan testing system, method, and apparatus
JP2003202362A (ja) * 2002-01-08 2003-07-18 Nec Microsystems Ltd スキャンテスト回路及びスキャンテスト方法
JP2004037254A (ja) * 2002-07-03 2004-02-05 Matsushita Electric Ind Co Ltd スキャンテスト装置
JP2005533251A (ja) * 2002-07-12 2005-11-04 フォームファクター,インコーポレイテッド Dutの故障に起因するテスト信号の劣化の補償
JP2008512682A (ja) * 2004-09-09 2008-04-24 フォームファクター, インコーポレイテッド 遠隔でテストチャンネルをバッファリングする方法および装置
JP2009540297A (ja) * 2006-06-06 2009-11-19 フォームファクター, インコーポレイテッド テスタのドライブおよび測定能力を広げる方法
US20090106613A1 (en) * 2007-10-23 2009-04-23 Lsi Corporation Testing a circuit with compressed scan chain subsets
JP2015179821A (ja) * 2014-03-19 2015-10-08 株式会社アドバンテスト 検査用ウエハおよび試験システム
US20170184665A1 (en) * 2015-12-28 2017-06-29 Qualcomm Incorporated Dynamically configurable shared scan clock channel architecture

Also Published As

Publication number Publication date
JP6782134B2 (ja) 2020-11-11

Similar Documents

Publication Publication Date Title
US6861866B2 (en) System on chip (SOC) and method of testing and/or debugging the system on chip
JP4274806B2 (ja) 半導体集積回路およびスキャンテスト法
US8738978B2 (en) Efficient wrapper cell design for scan testing of integrated
JP3996055B2 (ja) 内部中間スキャンテスト故障をデバッグするテストアクセスポート(tap)コントローラシステムおよび方法
US20110175638A1 (en) Semiconductor integrated circuit and core test circuit
JP2008286553A (ja) 半導体集積回路モジュール
US9304166B2 (en) Method and system for wafer level testing of semiconductor chips
JP6444317B2 (ja) スキャンテストリソースの動的アロケーションのための回路及び方法
KR20100107483A (ko) 복수의 검사 사이트에서 검사 자원을 공유하는 방법, 자동화 검사 장비, 검사를 받을 장치를 싣고 내리는 핸들러, 및 검사 시스템
US7673205B2 (en) Semiconductor IC and testing method thereof
JP4549701B2 (ja) 半導体回路装置及び半導体回路に関するスキャンテスト方法
JP2009092529A (ja) 半導体回路およびその検査方法
JP6782134B2 (ja) スキャン回路、集合スキャン回路、半導体装置、および半導体装置の検査方法
CN110717307B (zh) 一种基于边界扫描电路的sip器件可测试性方法
US7051254B2 (en) Semiconductor integrated circuit device and method for designing a semiconductor integrated circuit device
JP4610919B2 (ja) 半導体集積回路装置
JP5237148B2 (ja) 半導体集積回路
JP2008026074A (ja) Icテスト方法及びic
US9383408B2 (en) Fault detection system, generation circuit, and program
JP2005147749A (ja) スキャン回路を備える半導体集積回路、スキャン回路システムおよびスキャンテストシステム
JP4703398B2 (ja) 半導体集積回路およびその試験方法
JP5796404B2 (ja) 半導体回路及びテスト方法
JP4525125B2 (ja) マルチチップ型半導体装置
JP2004279348A (ja) テスト容易化回路、および検査方法
US20140304562A1 (en) Method for Testing Paths to Pull-Up and Pull-Down of Input/Output Pads

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190702

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200907

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201006

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201019

R150 Certificate of patent or registration of utility model

Ref document number: 6782134

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150