JP6444317B2 - スキャンテストリソースの動的アロケーションのための回路及び方法 - Google Patents

スキャンテストリソースの動的アロケーションのための回路及び方法 Download PDF

Info

Publication number
JP6444317B2
JP6444317B2 JP2015555297A JP2015555297A JP6444317B2 JP 6444317 B2 JP6444317 B2 JP 6444317B2 JP 2015555297 A JP2015555297 A JP 2015555297A JP 2015555297 A JP2015555297 A JP 2015555297A JP 6444317 B2 JP6444317 B2 JP 6444317B2
Authority
JP
Japan
Prior art keywords
scan
ports
output
input
test
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2015555297A
Other languages
English (en)
Other versions
JP2016505859A (ja
JP2016505859A5 (ja
Inventor
アジット パレクジ ルビン
アジット パレクジ ルビン
スリヴァス ラヴィ
ラヴィ スリヴァス
ナラヤナン プラカシュ
ナラヤナン プラカシュ
シェティ ミラン
シェティ ミラン
Original Assignee
日本テキサス・インスツルメンツ株式会社
テキサス インスツルメンツ インコーポレイテッド
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本テキサス・インスツルメンツ株式会社, テキサス インスツルメンツ インコーポレイテッド, テキサス インスツルメンツ インコーポレイテッド filed Critical 日本テキサス・インスツルメンツ株式会社
Publication of JP2016505859A publication Critical patent/JP2016505859A/ja
Publication of JP2016505859A5 publication Critical patent/JP2016505859A5/ja
Application granted granted Critical
Publication of JP6444317B2 publication Critical patent/JP6444317B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318544Scanning methods, algorithms and patterns
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318572Input/Output interfaces

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

本願は、全般的に、集積回路(IC)等の半導体デバイスのスキャンテストに関する。
例示のシナリオにおいて、集積回路又は他の半導体デバイス設計のテストは、製造プロセスにおいて不可欠なステップであり得、例えば、包括的なテストパターンを用いることと同時に全体のテスト時間及び設計のテストに係るコストを低減すること等、様々な課題を含み得る。テスト時間及びテストに係るコストを低減するためのいくつかの例示的手法には、自動テストパターン生成(ATPG)及びATPGツールのために改変可能な適切なテスト容易化設計(DFT)手法を用いて、テストされるべきデバイス(被試験デバイス(DUT)と称する)を設計して、異なるテスタを用いるテストアプリケーションをサポートするようにすることが含まれ得る。幾つかのテスタの例としては、低価格テスタ(VLCT)又はハイエンドテスタが含まれ得る。
種々の例示のシナリオにおいて、テスタのため、並びにATPGツール生成パターンを用いる修正動作のための、DUTにおけるハードウェア実装の多くは、スキャンインシフト及びスキャンアウトシフト動作をタンデムに実施する。種々のケースにおいて、所望の可制御性(スキャンイン動作に基づく)と所望の可観測性(スキャンアウト動作に基づく)は異なることがあり、そのようなケースでは、幾つかのテストサイクル及び関連するリソースがフルに利用されるとは限らない。そのようなケースの例としては、多数の同一/非同一コアをテストすることが含まれ得る。例えば、或るデバイスにおいて、テストパターン毎に、シフトインされるべきデータの数がシフトアウトされるべきデータの数と必ずしも同じではない。そうしたケース(所望の可制御性及び所望の可観測性が異なり得る場合)の別の例は、スキャンチャネルの一部がスキャンイン又はスキャンアウトされるケースである。そのようなケースの別の例は、複数のテストパターンにわたって、特定のモジュールにおける同じスキャンイン値が、他の近接するモジュールにおける欠陥に対するテストに用いられ得るケースであり得る。もう1つのケースは、異なるモジュールの個々のスキャンチェーンの状態を設定するために、幾つかのモジュールの初期化に幾つかのスキャンイン動作が必要とされる一方、目標の適用範囲を得るために、パターン毎に、より少ない数のスキャンチェーンの観測で充分であり得るケースである。
また、DUTの入力/出力(I/O)ポートがテスタの対応するポートと結合されているので、スキャンイン又はスキャンアウトシフト動作を制御するクロックの周波数は、(1)テスタスキャンチャネルにおけるデータ伝送速度、及び(2)DUTのI/Oと、このクロック周波数のためのテストシステムのボード上に見られる負荷とのマッチングによって制限される。種々のシナリオにおいて、DUTの出力ポートが、DUTとVLCTとの間の接続上の負荷を駆動するための充分な駆動強度を有するとは限らず、低強度のI/Oは、シフト速度を低下させ得、テスト時間にも影響を与え得る。
デバイス(被試験デバイス(DUT))のスキャンテストのための、種々の方法、デバイスの構成、及びテストシステムが開示される。少なくとも1つのDUTが、M個の入力/出力(I/O)ポートに関連するN個のスキャンチャネルを含み、N及びMはいずれも整数値である。被試験デバイス(DUT)をテストする方法が、テストパターン構造に関連する少なくとも1つの制御信号を生成することを含み、テストパターン構造は、少なくとも1つのDUTのスキャンテストのためにテストシステムから受け取る。この方法は更に、少なくとも1つの制御信号に基づいてテストパターン構造に対応するスキャン入力を受け取るため、M個のI/Oポートの中からM1個のポートを選択することを含む。この方法は更に、少なくとも1つの制御信号に基づいてテストパターン構造に対応するスキャン出力を提供するため、M個のI/Oポートの中からM2個のポートを選択することを含む。M1及びM2の各々は0〜Mから選択される数(例えば、整数値)であり、M1とM2の和はM以下である。その後、この方法は、スキャン入力をM1個のポートに提供すること及びスキャン出力をM2個のポートから受け取ることに基づいて、少なくとも1つのDUTのスキャンテストを実施することを含む。
一実施形態において、この方法は更に、少なくとも1つの制御信号に基づいてスキャン入力に対応するスキャンインシフト動作を実施するため、N個のスキャンチャネルの中からN1個のスキャンチャネルを、M1個のポートと通信可能に結合することを含む。この方法は更に、少なくとも1つの制御信号に基づいてスキャン出力に対応するスキャンアウトシフト動作を実施するため、N個のスキャンチャネルからN2個のスキャンチャネルを、M2個のポートと通信可能に結合することを含む。スキャン入力データをシフトインするためにM1個のポートが用いられるので、及び、スキャン出力データをシフトアウトするためにM2個のポートが用いられるので、M個のポートはN個の内部スキャンチャネルに接続され、スキャン圧縮を用いる実装又は用いない実装のいずれも種々の実施形態において提供される。
また、一実施形態において、テストシステムによってキャンテストされ得るDUTが開示される。DUTは、M個の入力/出力(I/O)ポートを含む。各I/Oポートは、テストパターン構造に対応するスキャン入力を受け取るため及びテストパターン構造に対応するスキャン出力を提供するためのポートとして動作され得る。DUTは更に、M個のI/Oポートと通信可能に結合される複数のスキャンチャネルを含み、これらのスキャンチャネルは、スキャン入力を受け取るように及びスキャン出力を提供するように構成される。DUTは更に、M個のI/Oポートの各々及び複数のスキャンチャネルと通信可能に結合される選択回路を含む。選択回路は、少なくとも1つの制御信号に基づいてスキャン入力を受け取るためにM個のI/Oポートの中からM1個のポートを選択し、少なくとも1つの制御信号に基づいてスキャン出力を提供するためにM個のI/OポートからM2個のポートを選択するように構成される。M1及びM2の各々は0〜Mから選択された数であり、M1とM2の和はM以下である。
また、一実施形態において、DUTをテストするために構成されるテストシステムが開示される。少なくとも1つのDUTがM個の入力/出力(I/O)ポートに関連するN個のスキャンチャネルを含む。テストシステムは、テストパターン構造に基づいて少なくとも1つの制御信号を生成するように構成される制御回路を含む。テストパターン構造は、少なくとも1つのDUTのスキャンテストのため、テストシステムによって少なくとも1つのDUTに提供される。少なくとも1つの制御信号は、少なくとも1つの制御信号に基づいてテストパターン構造に対応するスキャン入力を受け取るため、M個のI/Oポートの中からのM1個のポートの選択を生じさせる。少なくとも1つのDUTは更に、少なくとも1つの制御信号に基づいてテストパターン構造に対応するスキャン出力を提供するため、M個のI/Oポートの中からのM2個のポートの選択を実施する。M1及びM2の各々は0〜Mから選択される数(例えば、整数)であり、M1とM2の和はM以下である。スキャン入力をM1個のポートに提供すること及びスキャン出力をM2個のポートから受け取ることに基づいて、少なくとも1つのDUTのスキャンテストが実施される。
例示のシナリオに従ったウエハ及びテストシステム上の複数の被試験デバイス(DUT)の例示の概略図を示す。
本技術の例示の実施形態に従った例示のDUTを示す。
本技術の例示の実施形態に従った例示のDUTを示す。
本技術の例示の実施形態に従ったDUTの例示のテストを示す。
本技術の実施形態に従った例示のコンパレータの構成を示す。 本技術の実施形態に従った例示のコンパレータの構成を示す。 本技術の実施形態に従った例示のコンパレータの構成を示す。
本技術の実施形態に従ったマルチサイトスキャンテスト例におけるテストシステムと多数のDUTとの間の例示の接続の概略図である。
本技術の実施形態に従ったDUTのテストの例示の方法のフローチャートを示す。
本技術の種々の実施形態が、入力/出力ポートの動的選択を、被試験デバイス(DUT)における入力ポート又は出力ポートのいずれかとして提供する。種々の実施形態はまた、スキャンテストの間、スキャンインシフト動作及び/又はスキャンアウトシフト動作を実施するために、DUTのスキャンチャネルの動的選択を提供する。従って、種々の実施形態は、DUTの高効率及び低コストスキャンテストのためのテストリソース(DUTにおけるスキャンチャネル及びI/Oポート等)の動的アロケーションを提供する。
図1は、例示のシナリオにおける、複数のダイを含む例示のウエハ100を示す。ウエハ100はATE(自動試験装置)等のテストシステムに結合され得、テストシステムはウエハ100に存在する1つ又は複数のダイのテストを実施するためのハイエンドテスタ又は低価格テスタ(VLCT)のいずれかであり得る。一例において、単一のダイが1つ又は複数のデバイスを含み得、デバイスは別々に切断/パッケージされ得る。一例において、1つのデバイスは、そのデバイスのスキャンテストを実施するためにテストシステムに結合され得る、多数の回路又は構成要素又はモジュールを有し得る。従って、本記載における目的のため、各ダイが、デバイスのスキャンテストのためにVLCTと結合され得るデバイスを表し得、以下では、ダイは、複数のDUTを含み得るが、単一DUTとも称される。
図1に示すように、ウエハ100は、DUT110のスキャンテストを実施するためにテストシステム150と結合され得るウエハにおけるDUT110等、複数のDUTを含み得る。一例において、テストシステム150は、多数のDUTのスキャンテストを実施するように、DUTの対応するポートと結合され得る複数のポートを有し得る。例えば、一例において、テストシステム150は512個の入力/出力(I/O)ポート(160参照)を有し得、各DUTが32個のI/Oポート(120参照)を有し得、従って、16個のそのようなDUTのスキャンテストが同時に実施され得る。
DUT110の各DUTは、DUTのスキャンテストを提供するために構成される複数のスキャンチャネルを含み得る。例えば、32個のI/O(120参照)ポートを有する各DUTは、例えば16個のスキャンチャネル(例えば、スキャンチャネル130)等の多数のチャネルを有し得る。図1に示すようなスキャンチャネル130は、単に例示目的のためであり、I/Oポート120とのスキャンチャネル130の結合は、スキャン圧縮を備えた又はスキャン圧縮を備えない技術等を用いて種々の手法で行なわれ得る。なお、各スキャンチャネル130は、スキャンモード又は通常機能モードにおいて入力を受け取ることができるスキャン可能フリップフロップ140等、複数の順次(sequential)要素を含み得る。なお、そのようなスキャンチャネルの数は、DUT及びテストシステムの構成に依存して変動し得る。例えば、DUTの32個のI/Oポートは、種々の手法でスキャンチャネル130に通信可能に結合され得る。本技術の種々の実施形態において、32個のI/Oポートの幾つかのI/Oポートに関連する(又は幾つかのI/Oポートに通信可能に結合される)スキャンチャネルが、テストシステム150によって提供されるスキャン入力を受けるスキャンチャネルとして用いられ得、一方、32個のI/Oポートの幾つかのI/Oポートに関連するスキャンチャネルが、DUTに欠陥があることを判定するためのスキャン出力を提供するスキャンチャネルとして用いられ得る。幾つかの例において、同一のスキャンチャネルが、スキャン入力の受け取りと、対応するスキャン出力の提供とに利用され得る。そのような実施形態を図2から図7を参照して説明する。従って、本技術の種々の実施形態は、入力ポート及び出力ポートの数が、固定されず、テストモード毎、テストパターン毎、及びテストサイクル毎に動的に変動され得るように、DUTのテストの間、スキャンインチャネル及びスキャンアウトチャネルの動的アロケーションを提供することを理解されたい。本明細書中、用語「スキャンインチャネル」は、スキャン入力を受け取り、それに基づいてスキャンインシフト動作が実施され得る、スキャンチャネルを指し得る。「スキャンアウトチャネル」は、スキャンアウトシフト動作に関連するスキャン出力を提供し、DUTの欠陥の判定又は診断のために、それらの出力(例えば、スキャン出力)が予測スキャン出力と比較され得る、スキャンチャネルを指し得る。なお、例えばスキャン圧縮の存在下の、幾つかの実装において、I/Oポートと通信可能に結合されるスキャンチャネルは、DUTのI/Oポートに対する内部スキャンチェーンのフックアップ構成によっては、内部スキャンチェーンとは異なり得る。
図2は実施形態に従った例示のDUT200を示す。DUT200は、I/Oポートに関連する複数のスキャンチャネル(例えば、スキャンチャネル130)を有し得る。I/Oポートは、図1を参照して示されるようなI/Oポート120と同じであり得る。一例において、変動数のI/Oポートが、テストパターン構造(202として示す)毎に、スキャン入力を受け取るための入力ポートか又はスキャン出力(212として示す)を提供するための出力ポートのいずれかとして用いられ得る。本明細書中、用語「テストパターン構造」は、テストパターン、又はそのテストパターンの複数のテストサイクルのテストサイクル、又は多数のテストパターンを含むテストモードを指し得る。例えば、DUTにM個のI/Oポートがある場合、M個のI/Oポートの中からM1個のI/Oポートが入力ポートとして選択され得、M個のI/Oポートの中からM2個のI/Oポートが出力ポートとして選択され得る。一例において、M1は0からMまでの範囲で変動し得、M2は0からMまでの範囲で変動し得る。特定のテストパターン構造に対して、M1とM2の合計はMに等しい。例えば、32個のスキャンI/Oポートがある場合、20個のポートが入力ポートとして選択され得、残りの12個のポートが出力ポートとして選択され得る。この例において、テストパターン構造(テストパターンの特定のテストサイクル、そのテストパターン、又はそのテストパターンを含むテストモードを含む)に対応するスキャン入力がこれらの20個の入力ポートで提供され得、スキャンインシフト動作がこれらの20個の入力ポートと通信可能に結合されたスキャンチャネルで実施され得る。この例において、テストパターン構造(202として示す)に対応するスキャン出力(212として示す)が、出力ポートとして選択された12個のポートから受け取られ得る。例えば、テストパターン構造に対応するスキャンアウトシフト動作が幾つかのスキャンチャネルで実施され得、これらのスキャンチャネルからスキャン出力が12個の出力ポートに提供され得る。なお、I/Oポートは、スキャン入力を駆動すること及びスキャン出力を受け取ることに加えて、クロック、リセット、スキャンイネーブル、テストモード値等のようなテスト制御信号も提供しなければならないことも注目され得る。従って、このように、幾つかの実装において、32個より少ない幾つかのI/Oポートが、スキャンI/Oポートに実際に用いられ得る。
入力ポート又は出力ポートのいずれかとしての各I/Oポートの可変選択は、少なくとも1つの制御信号に基づいて実施される。その少なくとも1つの制御信号は、制御回路、又はコンピュータプログラム命令を用いる任意の処理システムから生成され得る。一例において、制御回路250がDUT200において構成され得る。或いは又はそれに加えて、制御回路250はテストシステムの一部であり得る。制御信号は、特定のテストパターン構造に基づいて生成され得る。一実施形態において、制御回路250は、本明細書中に説明する手法等で、制御回路250の多数の動作又はそれらの組み合わせを実施するように特に構成される、特定用途向け集積回路(ASIC)又はフィールドプログラマブルゲートアレイ(FPGA)内に具現化され得る。一実施形態において、制御回路250はまた、メモリデバイス(例えば、キャッシュ)、タイミングデバイス(例えば、リアルタイムクロック(RTC))、構成可能ロジックブロック(CLB)のアレイ、プログラマブル入力/出力ブロック(IOB)、及び/又は付加的回路要素又はデータ送信チャネルを含み得る。
一実施形態において、スキャンチャネルはまた、少なくとも1つの制御信号に基づいて、スキャンインシフト動作を実施するためのスキャンチャネル及び/又はスキャンアウトシフト動作を実施するためのスキャンチャネルとして選択され得る。一実施形態において、制御回路250は、スキャンインシフト動作及び/又はスキャンアウトシフト動作を実施するためのスキャンチャネルを選択するため制御信号を生成するように構成される。例えば、テストパターン構造毎に、N個のスキャンチャネル(DUTにおける総スキャンチャネル)の内のN1個のスキャンチャネルが、スキャンインシフト動作を実施するためM1個のポートと通信可能に結合され得、N個のスキャンチャネルの内のN2個のスキャンチャネルが、スキャンアウトシフト動作を実施するためM2個のポートと通信可能に結合され得る。例えば、DUTにおけるI/Oポートに結合された合計16個のスキャンチャネルがある場合、(スキャンインシフト動作を実施するためのスキャンインチャネルとして)入力テストパターンを受け取るために10個のスキャンチャネルが選択され得、6個のスキャンチャネルからスキャン出力が取り出され得る。この例において、10個のスキャンチャネルが、テストパターン構造に対応するスキャン入力を受け取るために選択されたI/Oポートに通信可能に結合され得、6個のスキャンチャネルが、テストパターン構造に対応するスキャン出力を提供するために選択されたI/Oポートに通信可能に結合され得る。
一実施形態において、DUTにおけるスキャンチャネルは、複数のパーティションにグループ化され得る。例えば、(M個のI/Oポートに関連する)N個のスキャンチャネルがある場合、これらのスキャンチャネルは、スキャンチャネルの複数のパーティション(210参照)にグループ化され得る。例えば、DUT200における32個のI/Oポートに結合された16個のスキャンチャネルがある場合、これらのスキャンチャネルは4個のパーティションにグループ化され得、各パーティションが4個のスキャンチャネルを含む。種々の実施形態において、スキャンインチャネル及びスキャンアウトチャネルは、16個のスキャンチャネルから動的に選択され得る。制御信号に基づいた、利用可能なスキャンチャネルからのスキャンインチャネル及びスキャンアウトチャネルの可変選択が、本技術のこの実施形態において提供される。なお、N(スキャンチャネルの数)は、M/2より大きくてもよく、又はM/2以下であってもよい。例えば、幾つかのケースにおいて、各スキャンチャネルが一対のI/Oポートに結合されている場合、NはM/2に等しくなり得る。幾つかの形式において、例えば、スキャン圧縮において、NはM/2より大きくなり得る。スキャン圧縮実装において、M個のI/Oポートが、大きい数(N)の、STUMPSチャネルと称される内部スキャンチェーンにリマッピングされ得る。一般性を失うことなく、本技術の種々の実施形態が、DUTにおいてスキャン圧縮が用いられるか否かに関係なく種々のモードで(スキャンインシフト動作及び/又はスキャンアウトシフト動作を実施するための)スキャンチャネルの可変選択に適用可能であり得る。
DUT200は、各スキャンチャネルをスキャンインチャネル及びスキャンアウトチャネルの一方として構成するためにN個のスキャンチャネルの各々に結合される選択回路260を含む。一実施形態において、選択回路260はまた、M個のI/Oポートの中からM1個のポート及びM2個のポートを選択するように構成される。選択回路260は、テストサイクル、テストパターン、及び/又はテストモード等のテスト構造に対応して生成される制御信号を受け取るために制御回路250と結合される。一例において、選択回路260は、DUT200におけるスキャンチャネルのパーティションの各々と結合され得、一度に1つ又は複数のパーティション、及び/又は選択されたパーティション内の1つ又は複数のスキャンチャネルを選択するように構成される。
図2に示す実施形態において、制御信号は、入力パーティション選択信号、出力パーティション選択信号、及びチャネル選択信号を含み得る。
入力パーティション選択信号(「信号1」として示す)を受け取ると、選択回路260は、スキャンインシフト動作を実施するため、スキャンチャネルの複数のパーティションからパーティションの第1のセットを選択するように構成される(即ち、選択されたパーティションのスキャンチャネルがスキャンインチャネルとして機能する)。例えば、DUT200に4個のパーティション(合計16個のスキャンチャネル)がある場合、パーティションの第1のセットは、入力パーティション選択信号に基づいて選択された、合計のパーティションの内の1、2、3、又は4個のパーティションを含み得る。一例において、4個のパーティション(16個のスキャンチャネルを含む)の各々がスキャンインシフト動作のために選択され得る。別の例において、パーティションの第1のセットは、それぞれ、4、8、又は12個のスキャンチャネルを有する1、2、又は3個のパーティションを含み得る。従って、所望の数のパーティション及び所望の数のスキャンチャネルが、テストパターン構造に対応するスキャンインシフト動作を実施するために選択され得る。
チャネル選択信号(「信号2」として示す)を受け取ると、選択回路260は、パーティションの第1のセット(入力パーティション選択信号に基づいて既に選択されたDUTパーティション)からスキャンチャネルを選択するように構成される。例えば、スキャンチャネルの3個のパーティション(即ち、合計12個のスキャンチャネル)が、パーティションの第1のセットとして選択される場合、例えば、9個のスキャンチャネル等の幾つかのスキャンチャネルが、チャネル選択信号に基づいてカレントのテストパターン構造のためのスキャンインシフト動作を実施するために選択され得る。同様に、パーティションの第1のセットからの所望の数のパーティションが、スキャンアウトシフト動作のために選択され得る。また、一実施形態において、チャネル選択信号に基づいてパーティションの第1のセットから(アクティブであるべき)幾つかのパーティションも選択され得る。一例において、各テスト構造に対して(例えば、シフト動作のサイクルに対して、又はテストパターンに対して)、選択的パーティション、又はパーティションの第1のセットの中の或るパーティションの内の選択的スキャンチャネルが、一度にアクティブであることが要求され得る。例えば、或るケースでは、DUT200における2個のパーティションが、パーティションの第1のセットとして選択され、一方のパーティションのみがスキャンインシフト動作を実施するために要求され、他方のパーティションは初期化のみに用いられる。そのようなケースでは、両方のパーティションをスキャンインシフト及びスキャンアウトシフト(キャプチャ)動作のために用いる代わりに、一方のパーティションのみがアクティブパーティションとして選択され得る。更に、幾つかのシナリオでは、スキャンインシフト動作が、或るパーティションにおいて部分的に実行され得る。例えば、1個のパーティションのスキャンチャネルにおいてn/2のスキャンインシフト動作が実施され得、残りのn/2のスキャンインシフト動作が別のパーティションのスキャンチャネルにおいて実施され得る(nはスキャンインシフト動作の長さである)。
出力パーティション選択信号を受け取ると、選択回路260は、スキャンアウトシフト動作を実施するため、1つ又は複数のパーティションを選択するように構成される。なお、入力パーティション選択信号に基づいて、選択回路260は、スキャンインシフト動作のためにDUTの幾つか又は全てのパーティションを選択するように構成され、一方、出力パーティション選択信号に基づいて、幾つかのパーティションがスキャンアウトシフト動作のために選択され得ることに留意されたい。なお、出力パーティション選択信号及び入力パーティション選択信号に基づくパーティションの選択は、同一であるとは限らない。例えば、入力パーティション選択信号に基づいて全てのパーティションに対してスキャンインシフト動作がイネーブルされ得る一方、スキャンアウトシフトは、出力パーティション選択信号に基づいて1個のパーティションに対してのみイネーブルされることもあり得る。このようなイネーブル化は、より高い可観測性を達成するため、又は診断目的のためであり得る。また、パーティション内の幾つか又は全てのスキャンチャネルが、制御信号に基づいてシフトイン及び/又はシフトアウトのために選択されてもよい。
図3は、実施形態に従った例示のDUT300を示す。DUT300は、I/Oポートに関連する複数のスキャンチャネルを有し得る。I/Oポートは、図1を参照して示すようにI/Oポート120と同じであり得る。
この実施形態において、制御信号(制御回路350によって生成される)は、図2を参照して説明した入力パーティション選択信号、出力パーティション選択信号、及びチャネル選択信号に加えて、入力選択信号及び出力選択信号を更に含み得る。一例において、制御回路350は、構成に関して制御回路250の一例であり得る。
図3のこの実施形態において、テストパターン構造(テストパターン構造202等)は、共用スキャン入力(302参照)及び専用スキャン入力(304参照)の少なくとも一方を含み得る。一例において、共用スキャン入力が、DUT300の各パーティション(310参照)に提供され得る。専用スキャン入力が専用パーティションに提供され得、各パーティションが、その専用スキャン入力を受け取り得る。一例において、選択回路360は、パーティションに対応する専用スキャン入力及び共用スキャン入力の1つを選択するため、マルチプレクサ等のデバイスを含み得る。例えば、1つの形式において、スキャンチャネルの4個のパーティションの各々に、専用スキャン入力の4つの別個の入力ストリームが提供され得、それぞれがDUT300の16個の内部スキャンチャネルを駆動する。別の実装において、DUT300の16個の内部スキャンチャネルを駆動する4個のパーティションの各々に、共用入力テストパターンのコモン入力ストリームが提供され得る。なお、その他の幾つかの形式において、共用入力テストパターン及び専用入力テストパターンはオーバーアップし得、オーバーラップされたテストパターンの入力ストリームはDUT300の4個のパーティションに同時に提供され得る。
選択回路360は、入力選択信号(信号4参照)に基づいて各パーティションに対し共用スキャン入力及び専用スキャン入力の少なくとも一方を選択するように構成される。図2を参照して(選択回路260に関連して)既に説明したように、選択回路360は、入力パーティション選択信号、チャネル選択信号、及び出力パーティション選択信号を受け取るように構成される。一例において、入力パーティション選択信号を受け取ると、選択回路360は、カレントのテストパターン構造に対応してスキャンインシフト動作を実施するためにスキャンチャネルの1つ又は複数のパーティションを選択するように構成される。更に、チャネル選択信号の受信に基づき、選択回路360は、入力パーティション選択信号に基づいて、DUT300のパーティションを、又は既に選択されているパーティション(パーティションの第1のセット)からパーティション内部の選択的スキャンチャネルを選択するように構成される。更に、出力パーティション選択信号を受け取ると、選択回路360は、テストパターン構造に対応するスキャンアウトシフト動作を実施するために1つ又は複数のパーティションを選択するように構成される。更に、選択回路360は、出力選択信号(信号5参照)に基づいて各パーティションのための専用スキャン出力(362参照)を、及び共用スキャン出力(364参照)の少なくとも一方を選択するように構成される。一実装において、DUT300で単一のスキャン出力(例えば、共用スキャン出力364)を駆動するため、多数の内部スキャン出力が内部的に比較又はコンパクト化され得る(例えば、(図3に示すXORゲート52によって)多数のスキャン出力の排他的ORゲーティングが共用スキャン出力を生成するために実施されされ得る)。或いは、多数の内部スキャン出力が個別にDUT300の専用スキャン出力ポートに駆動され得る。
図2及び図3を参照して説明した種々の実施形態に基づいて、特定のパターン構造に対し、総計M個のI/Oポートから、M1個のポートが入力ポートとして選択され得、M2個のポートが出力ポートとして選択され得ることに留意されたい。ここで、M1及びM2は0からMまで変動し得、スキャンテストの任意の時刻において、M1とM2の和はM以下である。同様に、可変数のスキャンチャネルが、それぞれ、スキャンインシフト及びスキャンアウトシフト動作を実施するために入力ポート及び出力ポートに通信可能に結合され得る。例えば、スキャンインシフト動作を実施するためにN1個のスキャンチャネルが選択され得、スキャンアウトシフト動作を実施するためにN2個のスキャンチャネルが選択され得る。一実施形態において、N1個のスキャンチャネルはM1個の入力ポートに通信可能に結合され得、N2個のスキャンチャネルはM2個の出力ポートに通信可能に結合され得る。幾つかの例において、N1及びN2は、M/2より大きいか、等しいか、又はそれより小さくなり得る。例えば、幾つかの実装において、N1及びN2がM/2以下であり得る。例えば、内部スキャンチャネルのスキャン圧縮実装における等の、幾つかの実装において、N1又はN2はM/2より大きくなり得る。
幾つかの例示のシナリオにおいて、DUT200又は300等のDUTの出力ポートは、DUTの出力ポートとテストシステムとの間の接続に関連する負荷を駆動するために要求される駆動強度を有しているとは限らない。例えば、出力ポートは、出力ポートと、テストシステムの対応するポートとの間の接続を駆動する出力バッファに結合される。幾つかのシナリオでは、要求される出力バッファの数を低減するか又は完全になくすことが望ましい場合がある。そのようなシナリオでは、DUTの出力ポートからテストシステムにスキャン出力が提供されない。その代わりに、出力ポートは、テストシステムから予測スキャン出力を受け取るために用いられ、予測スキャン出力と、(スキャンアウトシフト動作から受け取った)実際のスキャン出力との比較が、DUTにおいて内部的に実施される。DUTのそのようなスキャンテストを図4及び図5A〜図5Cを参照して更に説明する。
図4は、本技術の例示の実施形態に従った、DUT400の例示のテストを示す。他のアプリケーションとは別に、この実施形態は、出力ポートと、テストシステム410における対応するポートとの間の接続を駆動するために充分な駆動強度がDUT400の出力ポートにない場合に用いられ得る。図4の実施形態において、DUT400の出力ポート(例えば、120、図4には図示せず)が、テストパターン構造を、又はテストパターン構造に対応する予測スキャン出力をテストシステム410から受け取るための入力ポートとして用いられ得る。例えば、テストシステム410は、テストパターン構造をDUT400のスキャン入力チャネルに関連する入力ポートに提供し得る。スキャン出力チャネルに関連する出力ポートは、出力ポートからスキャン出力を受け取る代わりに、テストシステム410からテストパターン構造に対応する予測スキャン出力を受け取り得る。従って、出力ポートと、テストシステムにおける対応するポートとの間の接続の負荷を駆動する必要性が(及び、出力バッファの必要性も)除去され得る。
一実施形態において、テストシステム410から予測スキャン出力(424として示す)に対応する入力(422として示す)がDUT400で受け取られると、予測スキャン出力(424)と実際のスキャン出力(412として示す)との比較がDUT400において内部的に実施される。本明細書中では、図4に示すように、実際のスキャン出力412は、DUT400の内部スキャンチャネル420から受け取られる。なお、この実施形態は、スキャン出力ポートの数又はATPGの効率を犠牲にすることなく、テストシステム410からのスキャン入力チャネルの数の増加を提供することに留意されたい。また、この実施形態は設計簡素化を提供する。設計簡素化をしない場合、(テストシステム410及び関連するボードの負荷に対処するため)高強度(並びに高電圧)のドライバによってスキャン出力を駆動しなければならないためである。この実施形態において、DUT400は、内部接続構成においてDUT400の(実際のスキャン出力を受け取るため)スキャンチャネル420に、及び(予測スキャン出力を受け取るため)スキャン出力ポートに結合される、コンパレータ450を含む。なお、DUT400のスキャン出力ポートは、これらのポートがテストシステム410から予測スキャン出力に対応する入力422を受け取るため、入力ポートとして機能することを理解されたい。幾つかの実施形態において、入力422は予測スキャン出力424と同じであり得る。幾つかの実施形態において、予測スキャン出力424と実際のスキャン出力412との比較がディスエーブルされる必要があり得、従って、入力422は、この比較を制御する(イネーブル/ディスエーブルする)ために予測スキャン出力424及び1つ又は複数の比較イネーブル信号を含み得る。種々のコンパレータ構成を図5を参照して更に説明する。
一実施形態において、コンパレータ450は、スキャンチャネルと結合され得るM2個の入力の第1のセット(1からM2までとして示す)と、(初期的に出力ポートとして選択される)M2個のポートと結合され得るM2個の入力の第2のセット(1’からM2’までとして示す)とを有し得る。一実施形態において、M2個のポートは、テストシステムから予測スキャン出力を受け取ることが可能であり、これらのポートは入力ポートとして機能する。テストシステム410は、DUT400のM1個のポート(入力ポートとして選択される)でテスト構造(テストパターン、又はテストパターンのテストサイクル等)を提供する。テストパターン構造(DUT400のN個の入力ポートで提供される)に関連するスキャン出力は、コンパレータ450に提供される。例えば、テストパターン構造に対応するスキャンインシフト動作が、N1個のスキャンチャネルで実施され得、対応するスキャンアウトシフト動作が、N2個のスキャンチャネルで実施され得る。一実施形態において、N2個のスキャンチャネルからのスキャン出力は、M2個の入力の第1のセットで受け取られ得る。コンパレータ450はまた、テストシステム410から予測スキャン出力を受け取るため、DUT400のM2個のポートに結合されるM2個の入力の第2のセットを有する。例えば、コンパレータ450は、テストシステム410から、テストパターン構造に関連する予測スキャン出力を受け取るように構成される。コンパレータ450はまた、テスト結果を生成するために、N2個のスキャンチャネルから受け取ったスキャン出力(M2入力の第1のセットで受け取られる)と、予測スキャン出力(M2入力の第2のセットで受け取られる)とを比較するように構成される。
DUT400はまた、実際のスキャン出力と予測スキャン出力との比較に基づいてテスト結果をストアするためストレージレジスタ460を含む。ストレージレジスタ460は、テスト結果を1つ又は複数のビットの形式でストアすることが可能なバッファ又はメモリであり得る。ストレージレジスタ460の例としては、Dフリップフロップ又はラッチ等、1つ又は複数のフリップフロップが含まれ得る。DUTがコンパレータ450を有するこの実施形態において、制御信号は一定信号であり得、N1、N2、M1、及びM2の値は、この一定信号に基づいてDUTにおいて一旦構成されたものであり得る。例えば、N1、N2、M1、及びM2は、eヒューズ技術に基づいて、一定信号に基づきハードコーディングされ得る。
コンパレータ450は、種々の構成において設計され得、テストパターン構造の幾つか又は全てのサイクルに対してDUT出力が指定されているとは限らないというシナリオに対処することが可能である。そのようなシナリオの例は、DUT内部の未初期化ロジック、又は未解決(unresolved)ロジック関数(例えば、反対値における2つの信号正味の間の競合等)に起因して、応答が「X」又は不特定値である(「0」又は「1」のいずれかであるが、予測不能である)場合、又は、スキャン入力チャネルにフィードする入力データストリームが「ドントケア」値を含むために上述のいずれかである場合が含まれる。これらのシナリオでは、出力が明確な「0」又は「1」値ではないので、対応するスキャンチャネル出力は比較されてはならない。このような出力は「M」(「マスキングされるべき」であることを示す)と称され得る。一実施形態において、スキャンアウトデータ値が「M」を含む場合、それは、DUT400の欠陥有(faulty)/欠陥無(fault−free)特性を確認するための比較に必要とされるノーマルロジック「1」ビット及びロジック「0」ビットに加えて、コンパレータ450による予測スキャン出力との比較から除外される。また、スキャン出力が無視されるべきであり、その出力と予測スキャン出力との比較が回避されるべきシナリオ(例えば、未知状態)もあり得る。DUTにおける未知状態の例としては、新規のパターンセットの第1のスキャンパターンがシフトインされ、その時点で初期化されていないスキャンフリップフロップのスキャン出力が、比較されることから回避されなければならないような場合が含まれ得る。更に、幾つかのシナリオでは、パターンの堅牢性を確実にするために、入力テストパターンにおいて強制された「M」が提供され得、このようなシナリオでは比較は所望とされない。
コンパレータ450の種々の構成により、スキャン出力と予測スキャン出力との比較が回避されなければならない場合を判定することが可能であり、これらの実施形態を図5A〜図5Cを参照して説明する。
図5A、図5B、及び図5Cは、本技術の種々の実施形態に従ったコンパレータの構成の例を示す。コンパレータ510、530、及び550等のコンパレータ450の幾つかの例を、それぞれ、図5A、図5B、及び図5Cに示す。
図5Aの実施形態において、コンパレータ510は、DUT400等のDUTのスキャンチャネルからスキャン出力(512参照)を受け取り、テストシステム410等のテストシステムから予測スキャン出力(514参照)を受け取る。この実施形態において、比較イネーブル入力(516参照)がテストシステムからコンパレータ510に提供される。一実施形態において、スキャン出力と予測スキャン出力514との比較は、比較イネーブル入力516に基づいてイネーブル又はディスエーブルされる。
図5Aの実施形態は、DUTにおける各出力ポートに対して2つのスキャン入力ポートを提供することによって構成され得る。例えば、DUTの各スキャン出力ポートが、テストシステムから駆動される2つの入力ポートで置き換えられ、これら2つの入力ポートはテストシステムと結合される。第1のスキャン入力ポート(各出力ポートに対して構成される)が、テストシステムから予測スキャン出力514を受け取るように構成され、第2のスキャン入力ポート(各出力ポートに対して構成される)は、比較イネーブル信号516を受け取るように構成される。一例において、比較イネーブル信号がアサートされる場合(例えば、ロジック「1」)、比較はイネーブルされ、スキャン出力と予測スキャン出力とがコンパレータ510によって比較される。また、比較イネーブル信号がディアサートされる場合(例えば、ロジック「0」)、比較はディスエーブルされ、コンパレータ510においてスキャン出力と予測スキャン出力との比較が回避される。
図5Bの実施形態において、コンパレータ520は、DUT400等のDUTのスキャンチャネルからスキャン出力(512参照)を受け取り、予測スキャン出力及び比較イネーブル信号を含む入力(522参照)をテストシステムから受け取る。この実施形態において、比較イネーブル信号及び予測スキャン出力を含む入力522は、DUTからのスキャン出力のクロックの二倍の周波数を有するクロックで提供される。
この実施形態において、入力522の2つのサイクルが、スキャン出力512の各サイクルに対してDUTのポートに提供される。第1のサイクルでは、入力522は比較イネーブル入力に対応し、第2のサイクルでは、入力522は予測スキャン出力に対応する。一実施形態において、第1のサイクル(即ち、比較イネーブル入力)での入力522が、比較が有効であることを示す場合(例えば、第1のサイクルにおいてテストシステムによって提供される入力がロジック「1」である場合)、後続のサイクル(第2のサイクル)での入力522は、スキャン出力データとの比較のために用いられる。更に、一例において、第1のサイクルでの入力522の値が、比較が有効ではないことを示す場合(例えば、第1のサイクルにおいてテストシステムによって提供される入力522がロジック「0」である場合)、後続のサイクル(第2のサイクル)における入力522は、スキャン出力データとの比較のために用いらない/回避される。図5Bに示すように、入力522は、トグル選択ライン(sel参照)を有するデマルチプレクサ524に提供され得、トグル選択ラインは、予測スキャン出力(516参照)及び比較イネーブル入力(514参照)の一方を、特定のクロックサイクルで提供する。従って、予測スキャン出力516とスキャン出力512との比較は、前回のクロックサイクルでの比較イネーブル入力に基づくクロックサイクルで実施されることに留意されたい。
図5Cの実施形態において、コンパレータ530は、DUT400等のDUTのスキャンチャネルの出力からスキャン出力512を受け取る。この実施形態において、(テストシステムから)コンパレータ530に提供された入力532が、比較イネーブル信号として考えられる。テストシステムから受け取られた入力532は、比較イネーブル信号としてのみ解釈され、比較されるべき値は、例えば1又は0に、固定される。この実施形態において、テストパターンがDUTの入力ポートを介してスキャンインチャネルに2回適用され、固定された「1」又は「0」に対するスキャン出力の比較が、2回のパスにおいて実施される。第1のパスでは有効な「1」のみが比較され、第2のパスでは有効な「0」のみが比較される。この実施形態において、ドントケア入力(X)又は「M」値は、いずれのパスの比較に対しても無視される。この実施形態において、DUTにおいてオリジナルの出力ポートのために構成される必要があるのは1つの入力ポートのみである。これらの2つのモード(例えば、2つのパス)は、テストモードレジスタ制御を介して設定され得る(1つのアプリケーションでは、コンパレータへの入力532は1に設定され、第2のアプリケーションでは、入力532は0に設定される)。テストシステムによって提供される入力532は、サイクル毎に、比較をイネーブル又はディスエーブルするために用いられる。
本技術のその他の種々の実施形態が、あり得る他のコンパレータ実装手法を提供する。例えば、1つの実装において、スキャン出力の比較がディスエーブルされるべきである特定のスキャンテストサイクルに対応して、特定の信号がDUTにおいて内部的に生成され得る。これは、テストモード制御書き込みシーケンスに基づいて(例えば、テストシステムによってDUTに適用されるべきテストパターンを記述するために用いられるテスタ記述言語(TDL)内部の特定の事例において)、又は内部的に生成された信号を介して(即ち、スキャンI/Oパイプラインフラッシュ等の特定のサイクルで)、イネーブルされ得る。
図4の実施形態、及び、図5A、図5B、及び図5Cにおいて提供されたコンパレータの種々の構成は、ウエハ上の多数のダイ(DUT)が同時にテストされ得るテスト環境において用いられ得る。次に図6を参照すると、例示の実施形態に従った、そのようなテスト環境の例示の表示(テストシステム610と、DUT610、610、...、及び610の概略的接続)が示されている。
一例において、テストシステム610が、I個のバンクに区分されているP個のポートを含むと想定され得る。例えば、テストシステム610は、対応するスキャンラインを介してテストパターン構造を提供するため512個のポート(P1、P2、...、P512として示す)を有し得る。この例において、512個のポートに対応するスキャンラインは、16個のバンク(バンク1、...、バンク16として示す)に分けられる。各バンクは、DUT(610、610、...、610として示す)の1つ又は複数のDUTにテストパターンを提供するため32のスキャンラインを含む。例えば、各バンクは、32個のI/Oポート(スキャン入力を受け取るためのポート、及びスキャン出力を提供するためのポートを含む)を有するDUTにテストパターン構造を提供可能であり得る。しかしながら、DUTの各々が、N(例えば、8)個のI/Oポートを有する場合、J個のそのようなDUT(例えば、Jは4に等く、その場合、4個のDUTの各々は8個以下のI/Oポートを有し得る)が、テストシステム610の各バンクを用いて同時にテストされ得る。例えば、バンク1は、(テストパターン構造を提供するために)DUT610、DUT610、DUT610、及びDUT610等の4個のDUTに結合される。同様に、バンク16等のその他のバンクが、DUT610n−3、DUT610n−2、DUT610n−1、及び610等の4個のDUTに結合される。
この例において、テストシステム610の各バンクに対応する4個のDUTの各々は、テストパターン構造についてテストされ得、対応するテスト結果が、各DUTにおいて提供されるレジスタ(例えば、ストレージレジスタ460)にストアされる。例えば、テストパターンのスキャンインシフト動作は、各DUTの4個のスキャンチャネルで実施され得る。各DUTのスキャンチャネル(スキャンアウトシフト動作を実施する)からのスキャン出力は、各DUTに存在するコンパレータに提供される。図4を参照して説明したように、コンパレータは、出力ポート(予測スキャン出力を受け取るための入力ポートとして機能する)の幾つかを介して、テストシステムから予測スキャン出力を受け取る。コンパレータは更に、欠陥があるかどうかを判定するため、又は各DUTの診断のために、スキャン出力を予測スキャン出力と比較するように構成される。
この実施形態において、J個のDUT(例えば、4個のDUT)の各々は、各テストパターン構造に対して、J個のDUTの各々についてスキャンインシフト動作が完了しテスト結果がストアされる以前にスキャンテストが中断されないように、テストシステムのバンクを介してテストされる。例えば、スキャンテストは、J個のDUTのうちの或るDUTに関連するテスト結果に基づいて欠陥が判定されると、J個のDUTの各々についてテスト結果がストアされるまで中断されないようにされる。例えば、DUT610、610、610、及び610等の4個のDUTのスキャンテストが、テストシステム610のバンク1を用いて実施され、カレントのテストパターン構造について(例えば、カレントのテストサイクルについて)DUT610において欠陥が検出される場合、カレントのテストパターン構造に対応するスキャンテストは、DUT610、610、610、及び610の各々のスキャンテストが完了するまで中断されない。1つの形式において、各DUT610、610、610、及び610に対応するテスト結果はストレージレジスタにストアされ、DUT610、610、610、及び610の各々のスキャンテストの完了後にのみ、DUT610、610、610、及び610における任意の欠陥を判定するためにテスト結果が分析される。従って、或るバンクに関連する(J個のDUTの)各DUTのテストは、J個のDUTのいずれかに欠陥の判定があるとしてもそれに関係なく、或るテストサイクルで(中断されずに)完了されることに留意すべきである。例えば、すべてのDUTがテストされ、各DUTに対応するテスト結果は(欠陥の有無に拘らず)ストアされ、DUTのテストはいかなる中断も無く完了される。
図7は、本技術の一実施形態に従った、被試験デバイス(DUT)のテストのための方法700のフローチャートを示す。一例において、方法700は、テストシステム150、410、及び610等のテストシステム、及び/又は、DUT120、200、300、400、及び610〜610等の1つ又は複数のDUTによって実施され得る。上述の図1〜図6で説明したように、DUTが、M個の入力/出力(I/O)ポートに関連するN個のスキャンチャネルを含み得る。
705において、方法700はテストパターン構造に関連する少なくとも1つの制御信号を生成することを含む。テストパターン構造の例としては、テストパターン、テストパターンのテストサイクル、又はテストモードが含まれ得るがそれらに限定されない。テストパターン構造は、少なくとも1つのDUTのスキャンテストのためのテストシステムから受け取られる。710において、方法700は、少なくとも1つの制御信号に基づいてスキャン入力を受け取るため、M個のI/Oポートの中からM1個のポートを選択することを含む。一例において、M1は0からMまで変動し得る。715において、方法700は、少なくとも1つの制御信号に基づいてスキャン出力を受け取るため、M個のI/Oポートの中からM2個のポートを選択することを含み、M2はMから0まで変動する。一例において、スキャンパターン構造に対応するスキャンテストの間の任意の時刻では、M1とM2の和はM以下である。
一実施形態において、720において、方法700は、少なくとも1つの制御信号に基づいてスキャンインシフト動作を実施するため、(N個のスキャンチャネルの中から選択された)N1個のスキャンチャネルをM1個のポートと通信可能に結合することを随意的に含み得る。一実施形態において、725において、方法700は、少なくとも1つの制御信号に基づいてスキャンアウトシフト動作を実施するため、(N個のスキャンチャネルの中から選択された)N2個のスキャンチャネルをM2個のポートと通信可能に結合することを随意的に含み得る。一実施形態において、N1は0からNまで変動し得、N2はNから0まで変動し得、N1及びN2の値は、種々の実装、及びDUTのI/Oポートへの内部スキャンチェーンのフックアップ構成に依存して、M/2以下又はそれ以上であり得る。
一実施形態において、スキャンインシフト動作のために、N1個のスキャンチャネルをM1個のポート(スキャン入力を受け取るために選択されたもの)と通信可能に結合すること、及びスキャンアウトシフト動作のために、N2個のスキャンチャネルをM2個のポート(スキャン出力を提供するために選択されたもの)と通信可能に結合することは、制御信号に基づいて実施される。一実施形態において、制御信号は、制御回路、又はコンピュータプログラム命令を用いる任意の処理システムから生成され得る。一例において、制御回路はDUT内に構成され得る。或いは又はそれに加えて、制御回路はテストシステムの一部であり得る。制御信号は、特定のテストパターン及び/又はテストモードに基づいて生成され得る。
一実施形態において、制御信号は、入力パーティション選択信号、出力パーティション選択信号、及びチャネル選択信号を含み得る。図2及び図3を参照して説明したように、DUTのスキャンチャネルは、複数のパーティションにグループ化され得る。一実施形態において、方法700は、入力パーティション選択信号に基づいて、スキャンインシフト動作のために、複数のパーティションからパーティションの第1のセットを選択することを含み得る。一実施形態において、方法700はまた、チャネル選択信号に基づいて、パーティションの第1のセットの1つ又は複数のパーティションを選択することを含み得る。この実施形態において、方法700はまた、スキャンインシフト動作のために、パーティションの第1のセットの1つ又は複数のチャネルを選択することを含み得る。従って、方法700は、スキャンインシフト動作及び/又はスキャンアウトシフト動作を実施するためにスキャンチャネルを動的に選択することが可能であること、及びスキャンチャネルは、テストモード毎に及びテストサイクル毎に動的に選択され得ることに留意すべきである。
幾つかの実施形態において、テストパターン構造は、共用スキャン入力テストパターン、及び複数のパーティションに対応する専用スキャン入力テストパターンの少なくとも1つを含み得る。図3を参照して説明したように、共用スキャン入力は、各パーティションに提供されるコモン入力であり得、専用スキャン入力は、複数のパーティションの対応するパーティションに提供され得る。
一実施形態において、制御信号は、入力パーティション選択信号、出力パーティション選択信号、及びチャネル選択信号に加えて、入力選択信号及び出力選択信号を更に含み得る。方法700は更に、入力選択信号に基づいて、共用スキャン入力及び各パーティションのための専用スキャン入力の少なくとも1つを選択することを含み得る。また、方法700は、出力パーティション選択信号を受け取ると、スキャンアウトシフト動作を実施するために、1つ又は複数のパーティションを選択することを含む。更に、方法700は、出力選択信号に基づいて、共用出力及び各パーティションのための専用出力の少なくとも1つを選択することを含む。
730において、方法700は、スキャン入力をM1個のポートに提供すること、及びスキャン出力をM2個のポートから受け取ることに基づいて、少なくとも1つのDUTのスキャンテストを実施することを含む。上述したように、少なくとも1つのDUTのスキャンテストは、N1個のスキャンチャネルで実施されるスキャンインシフト動作、及びN2個のスキャンチャネルで実施されるスキャンアウトシフト動作を含む。幾つかの実施形態において、方法700は、N1個のスキャンチャネルで、テストパターン構造に対応するスキャン入力を提供することを含む。また、方法700は、N2個のスキャンチャネルから受け取ったスキャン出力を、DUT内に構成されるコンパレータに提供することを含む。方法700は更に、テストパターン構造に対応する予測スキャン出力を、少なくとも1つのDUTのN2個の出力ポートを介して、テストシステムからコンパレータに提供することを含む。また、方法700は、テストパターン構造に対応するテスト結果を生成するため、N2個のスキャンチャネルに関連するスキャン出力と、N2個の出力ポートを介して受け取る予測スキャン出力とを比較することを含む。その後、方法700は、テストパターン構造に対応するテスト結果をストアすることを含む。
図2〜図7を参照して説明した種々の実施形態に基づいて、I/Oポート(例えば、M)の総数から、0からM個のI/Oポートが入力又は出力のいずれかのポートとして選択され得ることに留意するべきである。DUTのスキャンテストの間の特定の時刻で、入力及び出力ポートの数の和はI/Oポートの総数(例えば、M)以下であり得る。同様に、スキャンパターン構造に基づいて、N個のスキャンチャネル(例えば、N)から、0〜N個のスキャンチャネルがスキャンインチャネルとして選択され得、0〜N個のスキャンチャネルがスキャンアウトチャネルとして選択され得る。
本明細書において開示される1つ又は複数の例示の実施形態の1つの技術的効果は、スキャンインシフト及びスキャンアウトシフト動作を行なうため、入力ポート、出力ポート、及びスキャンチャネルを動的に選択することである。入力ポート、出力ポート、及びスキャンチャネルは、テストパターン毎、テストサイクル毎、又はテストモード毎に動的に割り当てられ得る。種々の実施形態の実装が、異なるスキャンイン/スキャンアウト要件を備える多数のコアの存在下で、可制御性、可観測性、可診断性、及び、テスト同時並行性についてATPG効率を改善することが可能である。また、スキャンテストの間のポート及びスキャンチャネルの動的選択に基づく対称性の理由により、冗長なスキャンイン/スキャンアウト動作が実施される必要がないため、テスト時間が大幅に低減される。また、種々の実施形態が、スキャン出力と予測されたスキャン出力との比較をDUTにおいて内部的に提供することによってテストシステムとDUTとの間の出力負荷を駆動するためDUTに駆動力制限がある場合に、スキャンテストのためのメカニズムを提供する。
本明細書中に説明した種々のシステム、モジュール等は、ハードウェア回路要素(例えば、相補型金属酸化物半導体(CMOS)ベースのロジック回路要素)、ファームウェア、ソフトウェア、及び/又はハードウェア、ファームウェア及び/又はソフトウェアの任意の組み合わせ(例えば、機械可読媒体において具現化される)を用いて、イネーブル及び動作され得る。例えば、種々のモジュール及び方法は、トランジスタ、ロジックゲート、電気回路(例えば、特定用途向け集積回路(ASIC)回路要素及び/又はデジタル信号プロセッサ(DSP)回路要素)を用いて具現化され得る。
特に、図2及び図3の制御回路250又は350は、ソフトウェアを用いて、及び/又は、トランジスタ、ロジックゲート、及び電気回路(例えば、ASIC回路要素等の集積回路回路要素等)を用いてイネーブルされ得る。本開示の実施形態は、コンピュータ可読媒体にストアされるか又はその他の方式で具現化される1つ又は複数のコンピュータプログラムを含む。コンピュータプログラムは、プロセッサに、方法700のため、1つ又は複数の動作を実施させるように構成される。コンピュータプログラム又は同様の言語を、ストアする、具現化する、又はそれを用いてエンコードされるコンピュータ可読媒体が、プロセッサに1つ又は複数の動作を実行させるように構成される1つ又は複数のソフトウェアプログラムをストアする有形データストレージデバイスとして具現化され得る。そのような動作は、例えば、本明細書中に説明したステップ又は動作のうちの任意のものであり得る。また、有形データストレージデバイスが、1つ又は複数の揮発性メモリデバイス、1つ又は複数の不揮発性メモリデバイス、及び/又は、1つ又は複数の揮発性メモリデバイス及び不揮発性メモリデバイスの組み合わせとして具現化され得る。
当業者であれば、本発明の特許請求の範囲内で、説明された実施形態に変更が行なわれ得ること、及び多くの他の実施形態が可能であることを理解するであろう。

Claims (20)

  1. 集積回路又は類似のデバイスをテストする方法であって、少なくとも1つのデバイスがM個の入力/出力(I/O)ポートに関連するN個のスキャンチャネルを含み、前記方法が、
    テストパターン構造に関連する少なくとも1つの制御信号を生成することであって、前記テストパターン構造が、前記少なくとも1つのデバイスをスキャンテストするように実装されるように構成される、前記制御信号を生成することと、
    前記少なくとも1つの制御信号に基づいて前記テストパターン構造に対応するスキャン入力を受け取るように、前記M個のI/Oポートの中からM1個のI/Oポートを選択することと、
    前記少なくとも1つの制御信号に基づいて前記テストパターン構造に対応するスキャン出力を提供するように、前記M個のI/Oポートの中からM2個のI/Oポートを選択することであって、M1とM2との各々が0の間の数の範囲の中から選択される数であり、M1とM2の和がM以下である、前記M2個のI/Oポートを選択することと、
    前記M1個のI/Oポートへの前記スキャン入力の提供と前記スキャン出力の前記M2個のI/Oポートからの受け取りとに基づいて前記少なくとも1つのデバイスのスキャンテストを実施することと、
    を含
    前記少なくとも1つのデバイスが、N2個のスキャンチャネルと通信可能に結合され得る第1のセットのM2入力と前記M2個のI/Oポートと通信可能に結合され得る第2のセットのM2入力とを有するコンパレータを含み、前記M2個のI/Oポートがテストシステムから予測スキャン出力を受け取ることが可能である、方法。
  2. 請求項1に記載の方法であって、
    前記少なくとも1つの制御信号に基づいて前記スキャン入力に対応するスキャンインシフト動作を実施するために、前記N個のスキャンチャネルの中からN1個のスキャンチャネルを前記M1個のI/Oポートと通信可能に結合することと、
    前記少なくとも1つの制御信号に基づいて前記スキャン出力に対応するスキャンアウトシフト動作を実施するために、前記N個のスキャンチャネルの中から前記N2個のスキャンチャネルを前記M2個のI/Oポートと通信可能に結合することと、
    を更に含む、方法。
  3. 請求項2に記載の方法であって、
    前記少なくとも1つの制御信号が入力パーティション選択信号と出力パーティション選択信号とチャネル選択信号とを含み、前記N個のスキャンチャネルが複数のパーティションにグループ化される、方法。
  4. 請求項3に記載の方法であって、
    前記スキャンインシフト動作を実施するために、前記入力パーティション選択信号に基づいて前記複数のパーティションから第1のセットのパーティションを選択することと、
    前記スキャンインシフト動作のための前記第1のセットのパーティションの1つ又は複数のスキャンチャネルと、前記スキャンアウトシフト動作のための前記第1のセットのパーティションの1つ又は複数のスキャンチャネルとの少なくとも一方を前記チャネル選択信号に基づいて選択することと、
    前記スキャンアウトシフト動作を実施するために、前記出力パーティション選択信号に基づいて前記複数のパーティションから第2のセットのパーティションを選択することと、
    を更に含む、方法。
  5. 請求項4に記載の方法であって、
    前記少なくとも1つの制御信号が入力選択信号と出力選択信号とを含み、前記テストパターン構造が、少なくとも1つの共用スキャン入力と前記複数のパーティションに対応する複数の専用スキャン入力とを含み、前記方法が、
    前記入力選択信号に基づいて前記テストパターン構造の共用スキャン入力と各パーティションのための前記テストパターン構造の専用スキャン入力との少なくとも1つを選択することであって、前記共用スキャン入力が各パーティションに提供されるコモン入力であり、前記複数の専用スキャン入力が前記複数のパーティションに提供され得る、前記選択することと、
    前記出力選択信号に基づいて、各パーティションからの前記スキャン出力に対応する共用スキャン出力と前記スキャン出力に対応する専用出力との少なくとも1つを選択することと、
    を更に含む、方法。
  6. 請求項1に記載の方法であって、
    前記テストパターン構造に対応する前記スキャン出力を前記コンパレータの前記第1のセットのM2入力に提供することであって、前記スキャン出力が前記N2個のスキャンチャネルから受け取られる、前記スキャン出力を提供することと、
    前記テストパターン構造に対応する予測スキャン出力を前記コンパレータの前記第2のセットのM2入力に提供することと、
    テスト結果を生成するために、前記第1のセットのM2入力に提供された前記スキャン出力と前記第2のセットのM2入力に提供された前記予測スキャン出力とを比較することと、
    前記テスト結果をストアすることと、
    を更に含む、方法。
  7. 請求項6に記載の方法であって、
    比較イネーブル入力を前記コンパレータに提供することを更に含み、前記スキャン出力と前記予測スキャン出力との前記比較が、前記比較イネーブル入力に基づいてイネーブル又はディスエーブルされる、方法。
  8. 請求項6に記載の方法であって、
    前記テストシステムから、前記スキャンアウトシフト動作に関連するクロックの2倍の周波数を有するクロックにおいて、比較イネーブル入力と前記予測スキャン出力とを含む入力を提供することを更に含み、
    前記予測スキャン出力と前記スキャン出力との前記比較が、先行クロックサイクルにおける前記比較イネーブル入力に基づくクロックサイクルにおいて実施される、方法。
  9. 請求項6に記載の方法であって、
    前記テストシステムがI個のバンクに区分されるP個のポートを含み、各バンクがP/I個のポートに関連し、各バンクがJ個のデバイスをテストすることが可能であり、前記J個のデバイスの各々が、前記第1のセットのM2入力と前記第2のセットのM2入力とを有する前記コンパレータを含み、
    バンクにおいて前記J個のデバイスを前記テストすることが、
    テスト結果を生成するために、前記J個のデバイスの前記各々のコンパレータの前記第1のセットのM2入力に提供される前記スキャン出力と前記第2のセットのM2入力に提供される前記予測スキャン出力とを比較することと、
    前記スキャン出力と前記予測スキャン出力との比較の際に、前記各デバイスに関連する前記テスト結果を前記各デバイスに関連するレジスタにストアすることと、
    を含み、
    前記スキャンテストが、前記J個のデバイスの或るデバイスに関連するテスト結果に基づく欠陥の判定時に、前記テスト結果が前記J個のデバイスの前記各々に対してストアされる以前に前記スキャンテストが中断されないように、前記J個のデバイスに対して実施される、方法。
  10. 請求項1に記載の方法であって、
    前記少なくとも1つの制御信号が、一定信号と、前記テストシステム又は前記少なくとも1つのデバイスから生成される信号との少なくとも一方である方法。
  11. テストシステムによってスキャンテストされるように構成される集積デバイスであって、
    M個の入力/出力(I/O)ポートであって、前記M個のI/Oポートの中の各I/Oポートが、テストパターン構造に対応するスキャン入力を受け取り、前記テストパターン構造に対応するスキャン出力を提供するように構成される、前記M個のI/Oポートと、
    前記M個のI/Oポートと結合される複数のスキャンチャネルであって、前記スキャン入力を受け取り、前記スキャン出力を提供するように構成される、前記複数のスキャンチャネルと、
    前記M個のI/Oポートの中の各I/Oポートと前記複数のスキャンチャネルの中の各スキャンチャネルとに結合される選択回路であって
    少なくとも1つの制御信号に基づいて前記スキャン入力を受け取るために、前記M個のI/Oポートの中からM1個のI/Oポートを選択し、
    前記少なくとも1つの制御信号に基づいて前記スキャン出力を提供するために、前記M個のI/Oポートの中からM2個のI/Oポートを選択する、
    ように構成され、M1とM2との各々が0の間の数の範囲の中から選択される数であり、M1とM2の和がM以下である、前記選択回路と、
    N2個のスキャンチャネルと通信可能に結合され得る第1のセットのM2入力と前記M2個のI/Oポートと通信可能に結合され得る第2のセットのM2入力とを有するコンパレータであって、前記M2個のI/Oポートが前記テストシステムから予測スキャン出力を受け取ることが可能である、前記コンパレータと、
    を含む、デバイス。
  12. 請求項11に記載のデバイスであって、
    前記選択回路が、
    前記少なくとも1つの制御信号に基づいて前記スキャン入力に対応するスキャンインシフト動作を実施するために、前記N個のスキャンチャネルの中からN1個のスキャンチャネルを前記M1個のI/Oポートと通信可能に結合し、
    前記少なくとも1つの制御信号に基づいて前記スキャン出力に対応するスキャンアウトシフト動作を実施するために、前記N個のスキャンチャネルの中から前記N2個のスキャンチャネルを前記M2個のI/Oポートと通信可能に結合する、
    ように更に構成される、デバイス。
  13. 請求項12に記載のデバイスであって、
    前記少なくとも1つの制御信号が入力パーティション選択信号と出力パーティション選択信号とチャネル選択信号とを含み、前記N個のスキャンチャネルが複数のパーティションにグループ化される、デバイス。
  14. 請求項13に記載のデバイスであって、
    前記選択回路が、
    前記スキャンインシフト動作を実施するために前記入力パーティション選択信号に基づいて前記複数のパーティションから第1のセットのパーティションを選択し、
    前記スキャンインシフト動作のための前記第1のセットのパーティションの1つ又は複数のスキャンチャネルと、前記スキャンアウトシフト動作のための前記第1のセットのパーティションの1つ又は複数のスキャンチャネルとの少なくとも一方を前記チャネル選択信号に基づいて選択し、
    前記スキャンアウトシフト動作を実施するために前記出力パーティション選択信号に基づいて前記複数のパーティションから第2のセットのパーティションを選択する、
    ように構成される、デバイス。
  15. 請求項14に記載のデバイスであって、
    前記N2個のスキャンチャネルから受け取られる、前記テストパターン構造に対応する前記スキャン出力を前記コンパレータの前記第1のセットのM2入力に提供し、
    前記テストパターン構造に対応する予測スキャン出力を前記コンパレータの前記第2のセットのM2入力に提供し、
    テスト結果を生成するために、前記第1のセットのM2入力に提供された前記スキャン出力と、前記第2のセットのM2入力に提供された前記予測スキャン出力とを比較し、
    前記テスト結果をストアする、
    ように構成される、デバイス。
  16. 請求項11に記載のデバイスであって、
    前記テストパターン構造に基づいて前記少なくとも1つの制御信号を生成するため制御回路を更に含む、デバイス。
  17. 請求項15に記載のデバイスであって、
    前記コンパレータが、前記スキャン出力と前記予測スキャン出力との前記比較をイネーブル又はディスエーブルするため比較イネーブル入力を受け取るように構成される、デバイス。
  18. 集積回路デバイスをスキャンテストするためのテストシステムであって、少なくとも1つのデバイスがM個の入力/出力(I/O)ポートに関連するN個のスキャンチャネルと、N2個のスキャンチャネルと通信可能に結合され得る第1のセットのM2入力とM2個のI/Oポートと通信可能に結合され得る第2のセットのM2入力とを有するコンパレータであって、前記M2個のI/Oポートが前記テストシステムから予測スキャン出力を受け取ることが可能である、前記コンパレータとを含み、
    前記テストシステムが、テストパターン構造に基づいて少なくとも1つの制御信号を生成するように構成される制御回路であって、前記テストパターン構造が前記少なくとも1つのデバイスのスキャンテストを実施するように実装されるように構成される、前記制御回路を含み、
    前記少なくとも1つの制御信号が、
    前記少なくとも1つの制御信号に基づいて前記テストパターン構造に対応するスキャン入力を受け取るための、前記M個のI/Oポートの中からのM1個のI/Oポートの選択と、
    前記少なくとも1つの制御信号に基づいて前記テストパターン構造に対応するスキャン出力を提供するための、前記M個のI/Oポートの中からのM2個のI/Oポートの選択と、
    を可能にするように構成され、
    M1とM2との各々が0の間の数の範囲の中から選択される数であり、M1とM2の和がM以下であり、そのため、前記スキャンテストが、前記M1個のI/Oポートへの前記スキャン入力の提供と前記M2個のI/Oポートからの前記スキャン出力の受け取りとに基づいて実施されるように構成される、システム。
  19. 請求項18に記載のシステムであって、
    前記少なくとも1つの制御信号が、前記少なくとも1つのデバイスにおける選択回路に、
    前記少なくとも1つの制御信号に基づいて前記スキャン入力に対応するスキャンインシフト動作を実施するために、前記N個のスキャンチャネルの中からN1個のスキャンチャネルを前記M1個のI/Oポートと通信可能に結合することと、
    前記少なくとも1つの制御信号に基づいて前記スキャン出力に対応するスキャンアウトシフト動作を実施するために、前記N個のスキャンチャネルの中からN2個のスキャンチャネルを前記M2個のI/Oポートと通信可能に結合することと、
    を更に実施させる、システム。
  20. 請求項19に記載のシステムであって、
    前記少なくとも1つの制御信号が入力パーティション選択信号と出力パーティション選択信号とチャネル選択信号とを含み、前記N個のスキャンチャネルが複数のパーティションにグループ化される、システム。
JP2015555297A 2013-01-24 2014-01-24 スキャンテストリソースの動的アロケーションのための回路及び方法 Active JP6444317B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/749,623 2013-01-24
US13/749,623 US8839063B2 (en) 2013-01-24 2013-01-24 Circuits and methods for dynamic allocation of scan test resources
PCT/US2014/012891 WO2014116914A1 (en) 2013-01-24 2014-01-24 Circuits and methods for dynamic allocation of scan test resources

Publications (3)

Publication Number Publication Date
JP2016505859A JP2016505859A (ja) 2016-02-25
JP2016505859A5 JP2016505859A5 (ja) 2017-03-02
JP6444317B2 true JP6444317B2 (ja) 2018-12-26

Family

ID=51208740

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2015555297A Active JP6444317B2 (ja) 2013-01-24 2014-01-24 スキャンテストリソースの動的アロケーションのための回路及び方法

Country Status (4)

Country Link
US (1) US8839063B2 (ja)
JP (1) JP6444317B2 (ja)
CN (1) CN104903736B (ja)
WO (1) WO2014116914A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9689922B2 (en) * 2013-12-20 2017-06-27 Advantest Corporation Online design validation for electronic devices
TW201809712A (zh) * 2014-10-29 2018-03-16 因諾帝歐股份有限公司 積體電路晶片測試裝置,方法及系統
US10217498B2 (en) * 2016-09-12 2019-02-26 Qualcomm Incorporated Techniques for preventing tampering with PROM settings
CN110687437A (zh) * 2019-09-03 2020-01-14 天津大学 一种扫描测试压缩的优化方法
US11105853B1 (en) 2020-02-28 2021-08-31 International Business Machines Corporation Empirical LBIST latch switching and state probability determination
US11733290B2 (en) * 2020-03-31 2023-08-22 Advantest Corporation Flexible sideband support systems and methods

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5195050A (en) * 1990-08-20 1993-03-16 Eastman Kodak Company Single chip, mode switchable, matrix multiplier and convolver suitable for color image processing
FI100136B (fi) * 1993-10-01 1997-09-30 Nokia Telecommunications Oy Menetelmä integroidun piirin testaamiseksi sekä integroitu piiri
US5383143A (en) * 1994-03-30 1995-01-17 Motorola, Inc. Self re-seeding linear feedback shift register (LFSR) data processing system for generating a pseudo-random test bit stream and method of operation
US5732246A (en) * 1995-06-07 1998-03-24 International Business Machines Corporation Programmable array interconnect latch
EP0758771B1 (en) * 1995-08-10 1998-06-03 Hewlett-Packard GmbH An electronic circuit or board tester and a method of testing an electronic device
US5867507A (en) * 1995-12-12 1999-02-02 International Business Machines Corporation Testable programmable gate array and associated LSSD/deterministic test methodology
CA2245549C (en) * 1996-02-06 2003-04-08 Telefonaktiebolaget Lm Ericsson Assembly and method for testing integrated circuit devices
US5991909A (en) * 1996-10-15 1999-11-23 Mentor Graphics Corporation Parallel decompressor and related methods and apparatuses
US5991898A (en) * 1997-03-10 1999-11-23 Mentor Graphics Corporation Arithmetic built-in self test of multiple scan-based integrated circuits
JP2000353783A (ja) * 1999-04-05 2000-12-19 Matsushita Electric Ind Co Ltd 半導体装置
US6327687B1 (en) * 1999-11-23 2001-12-04 Janusz Rajski Test pattern compression for an integrated circuit test environment
US7028239B2 (en) 2000-12-29 2006-04-11 Intel Corporation Microprocessor on-chip testing architecture and implementation
US6950974B1 (en) * 2001-09-07 2005-09-27 Synopsys Inc. Efficient compression and application of deterministic patterns in a logic BIST architecture
JP2004037254A (ja) * 2002-07-03 2004-02-05 Matsushita Electric Ind Co Ltd スキャンテスト装置
JP2004191149A (ja) * 2002-12-10 2004-07-08 Matsushita Electric Ind Co Ltd スキャンテスト回路およびテスト方法
JP2006329876A (ja) * 2005-05-27 2006-12-07 Nec Electronics Corp 半導体集積回路及びそのテスト方法
US7487419B2 (en) 2005-06-15 2009-02-03 Nilanjan Mukherjee Reduced-pin-count-testing architectures for applying test patterns
JP2007003423A (ja) * 2005-06-24 2007-01-11 Toshiba Corp 半導体集積回路およびその制御方法
EP1946131B1 (en) * 2005-11-02 2010-06-23 Nxp B.V. Ic testing methods and apparatus
CN1996035B (zh) * 2005-12-31 2012-01-25 旺玖科技股份有限公司 用于多芯片组件的具有可规划扫描链的装置
US8522096B2 (en) 2010-11-02 2013-08-27 Syntest Technologies, Inc. Method and apparatus for testing 3D integrated circuits
US8566658B2 (en) * 2011-03-25 2013-10-22 Lsi Corporation Low-power and area-efficient scan cell for integrated circuit testing

Also Published As

Publication number Publication date
CN104903736B (zh) 2019-03-15
WO2014116914A1 (en) 2014-07-31
JP2016505859A (ja) 2016-02-25
US8839063B2 (en) 2014-09-16
US20140208177A1 (en) 2014-07-24
CN104903736A (zh) 2015-09-09

Similar Documents

Publication Publication Date Title
JP6444317B2 (ja) スキャンテストリソースの動的アロケーションのための回路及び方法
JP5537158B2 (ja) 低消費電力スキャンテスト技術および装置
US8650524B1 (en) Method and apparatus for low-pin count testing of integrated circuits
US8904256B1 (en) Method and apparatus for low-pin count testing of integrated circuits
US9678152B2 (en) Scan chain latch design that improves testability of integrated circuits
US8671320B2 (en) Integrated circuit comprising scan test circuitry with controllable number of capture pulses
US11073556B2 (en) Low pin count reversible scan architecture
US8819508B2 (en) Scan test circuitry configured to prevent violation of multiplexer select signal constraints during scan testing
US9335377B2 (en) Test-per-clock based on dynamically-partitioned reconfigurable scan chains
US11747399B2 (en) Scan test control decoder with storage elements for use within integrated circuit (IC) devices having limited test interface
US20160349318A1 (en) Dynamic Clock Chain Bypass
JP6654456B2 (ja) テストポイント回路、シーケンシャルテスト用スキャンフリップフロップ、半導体装置及び設計装置
US20110175638A1 (en) Semiconductor integrated circuit and core test circuit
US11815555B2 (en) Universal compactor architecture for testing circuits
US20090063921A1 (en) Staggered LBIST Clock Sequence for Noise (di/dt) Amelioration
US10963612B2 (en) Scan cell architecture for improving test coverage and reducing test application time
US11150299B2 (en) Flexible isometric decompressor architecture for test compression
US10288682B2 (en) Integrated electronic device having a test architecture, and test method thereof
US6650136B2 (en) Method and apparatus to enhance testability of logic coupled to IO buffers
JP2006292401A (ja) テスト構成の半導体集積回路およびそのテスト方法
US20100023809A1 (en) Memory test circuit, semiconductor integrated circuit, and memory test method
US10354742B2 (en) Scan compression architecture for highly compressed designs and associated methods

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170123

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170123

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180131

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180629

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180731

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20181114

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20181127

R150 Certificate of patent or registration of utility model

Ref document number: 6444317

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250