JP2016528677A - 基板処理システム、イオン注入システム、およびビームラインイオン注入システム - Google Patents

基板処理システム、イオン注入システム、およびビームラインイオン注入システム Download PDF

Info

Publication number
JP2016528677A
JP2016528677A JP2016523883A JP2016523883A JP2016528677A JP 2016528677 A JP2016528677 A JP 2016528677A JP 2016523883 A JP2016523883 A JP 2016523883A JP 2016523883 A JP2016523883 A JP 2016523883A JP 2016528677 A JP2016528677 A JP 2016528677A
Authority
JP
Japan
Prior art keywords
ion implantation
silicon liner
disposed
silicon
textured
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016523883A
Other languages
English (en)
Other versions
JP6652255B2 (ja
Inventor
ブレーク、ジュリアン
Original Assignee
バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド filed Critical バリアン・セミコンダクター・エクイップメント・アソシエイツ・インコーポレイテッド
Publication of JP2016528677A publication Critical patent/JP2016528677A/ja
Application granted granted Critical
Publication of JP6652255B2 publication Critical patent/JP6652255B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/564Means for minimising impurities in the coating chamber such as dust, moisture, residual gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3171Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation for ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/0203Protection arrangements
    • H01J2237/0213Avoiding deleterious effects due to interactions between particles and tube elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/028Particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/047Changing particle velocity
    • H01J2237/0473Changing particle velocity accelerating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/047Changing particle velocity
    • H01J2237/0475Changing particle velocity decelerating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/05Arrangements for energy or mass analysis
    • H01J2237/057Energy or mass filtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/10Lenses
    • H01J2237/12Lenses electrostatic
    • H01J2237/1207Einzel lenses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Abstract

基板処理システム、イオン注入システム、およびビームラインイオン注入システムが提供される。複数のテクスチャ化シリコンライナを有する複数のイオン注入システム、堆積システムおよびエッチングシステム等の基板処理システムが開示される。20マイクロメートル未満の高さになり得る、マイクロピラミッドと呼ばれる小さな特徴を生成する化学的処理を用いて、複数のシリコンライナがテクスチャ化される。これらのマイクロピラミッドが、グラファイトライナにおいて一般に見出されるテクスチャ入りの構成よりもはるかに小さいという事実にも拘わらず、テクスチャ化シリコンは、堆積コーティングを保持することができ、剥離に耐性がある。これらの基板処理システムに予防的メンテナンスを実行するための方法も開示される。

Description

本開示の実施形態は、基板処理システムの部品を内張りおよびテクスチャ化するための装置に関する。
半導体、太陽電池、または他のタイプの基板は、イオン注入システム、堆積システムおよびエッチングシステム等、様々な基板処理システム内で処理され得る。いくつかのイオン注入システムは、イオン源、複数の引出し電極、質量分析器、コリメート磁石、1もしくは複数の加速もしくは減速段、および基板を保持する処理チャンバを備え得る。堆積システムは、イオン源、ならびに処理チャンバに配置された標的および基板を備え得る。内壁部、電極、絶縁体および他の機器等、これらのシステム内に配置された複数のコンポーネントが劣化の兆候を示し、またはコーティングされるのは通常のことである。これは、2つの異なる原因による場合がある。例えば、複数のイオンまたは他の材料がこれらのコンポーネント上に堆積され得る。更に、複数のコンポーネント自体は、複数のエネルギーイオンにより衝突されると複数の微粒子を生成し、下流側で汚染を生じさせることがある。例えば、質量分析器の内壁部は、複数のエネルギーイオンにより衝突され、質量分析器を構成するべく用いられる材料にスパッタリングさせ得る。更に、イオン源内等、上流側で更に放出される複数の材料は、質量分析器の複数の壁部上に堆積され、壁部をコーティングし得る。十分な厚さの層が形成された後、このコーティングは剥がれ落ち、下流側で汚染を生じさせる場合がある。複数の他の実施形態において、基板付近の複数の領域で、フォトレジストの薄膜がこれらのコンポーネント上に形成され得る。
現在、通常はグラファイト製のライナが用いられ、これらの問題に対処する。グラファイトは炭素系である。従って、たとえライナがスパッタリングを受けても、炭素の放出は下流側に配置された複数のコンポーネントおよび基板に最小の影響のみを有し得る。更に、グラファイトは、機械的にテクスチャ化され、粗い内側表面を形成し得る。テクスチャ化グラファイトは、約0.3mmの深さの特徴を有し得る。ライナをコーティングする複数の堆積材料は、このテクスチャ化表面に良好に付着し、それにより剥離の可能性を低減する。
しかし、グラファイトの1つの難点は、複数のエネルギーイオンにより衝突されると微粒子を生じさせる傾向があることである。これは、非結晶炭素マトリックスに互いに保持される小さな炭素粒子の集合体である、グラファイトの微小構造によるものである。従って、粒子発生に耐性があり、堆積コーティングを剥離させないイオン注入システムにおいて用いられ得るライナおよびテクスチャ化の方法があれば、有利であろう。
複数のテクスチャ化シリコンライナを有する複数のイオン注入システム、堆積システムおよびエッチングシステム等の複数の基板処理システムが開示される。20マイクロメートル未満の高さになり得る、マイクロピラミッドと呼ばれる小さな形体を生成する化学的処理を用いて、複数のシリコンライナがテクスチャ化される。これらのマイクロピラミッドが、グラファイトライナにおいて一般に見られるテクスチャ入りの特徴よりもはるかに小さいという事実にも拘わらず、テクスチャ化シリコンは、堆積コーティングを保持することができ、剥離に耐性がある。
一実施形態によれば、基板が配置される複数のチャンバ壁部を有する処理チャンバと、処理チャンバと連通するガス供給源と、ガス供給からプラズマを生成するプラズマ生成器と、処理チャンバの複数のチャンバ壁部のうちの少なくとも1つの表面に配置されたシリコンライナとを備え、処理チャンバの内部に面するシリコンライナの表面は、テクスチャ化される、基板処理システムが開示される。テクスチャ化シリコンライナは、20マイクロメートル未満の高さを有する複数のマイクロピラミッドを有し得る。
別の実施形態によれば、イオン源と、基板が配置される処理チャンバと、システム内に配置されたシリコンライナとを備え、シリコンライナの表面は、テクスチャ化される、イオン注入システムが開示される。テクスチャ化シリコンライナは、20マイクロメートル未満の高さを有する複数のマイクロピラミッドを有し得る。
別の実施形態によれば、イオン源と、イオン源の外側に配置され、イオン源内で生成される複数のイオンを引き付けてイオンビームを形成する電極と、イオンビームが通過する質量分析器と、所望の電荷/質量比の複数のイオンが通過することを可能にする質量分析器の出力部における分析スリットと、イオンビームを集束するイオンビームの経路における集束素子と、基板が配置される処理チャンバと、分析スリットの周囲のシールド上または集束素子上に配置されたシリコンライナとを備え、イオンビームの方を向くシリコンライナの表面は、テクスチャ化された表面が20マイクロメートル未満の高さを有する複数のマイクロピラミッドを含むように、化学的にテクスチャ化される、ビームラインイオン注入システムが開示される。
本開示のより良い理解のために、添付の図面を参照する。これらの図面は参照により本明細書に組み込まれる。
一実施形態による、代表的なイオン注入システムを示す。
シリコンライナ表面の拡大図を示す。
別の実施形態による、代表的なイオン注入システムを示す。
代表的な堆積およびエッチングのシステムを示す。
図1は、一実施形態により用いられ得る、代表的なイオン注入システムを示す。本システムにおいては、ガス供給源105と連通するイオン源110が存在する。供給ガスは、ガス供給源105からイオン源110に供給される。供給ガスは、任意の好適なガスであり得る。例えば、いくつかの実施形態において、BFまたはジボラン等のホウ素含有ガスが用いられ得る。複数の他の実施形態において、PH等のリン含有ガスが用いられ得る。
一実施形態において、イオン源110は、タングステンチャンバ内に収納された間接加熱陰極(IHC)を含み得る。このイオン源110は、より大きな筐体100内に含まれてもよい。通常、イオン源110はかなりの電圧でバイアスされるので、イオン源110を筐体100から電気的に絶縁することが必要となる場合がある。これは、複数のソースブッシング115を用いることにより実現され得る。
イオン源110の外側には、1または複数の電極120が存在し、適切にバイアスされ、イオン源110において生成される複数のイオンを引き付ける。複数の電極120は、電極120に対してこれらのイオンを引き寄せ、次に中に通す。いくつかの実施形態において、引出し電極121および抑制電極122等、複数の電極120が存在し得る。これらの電極120は、異なる電圧にあり得、従って互いに電気的に絶縁されなければならない。これらは、絶縁されたマニピュレータアセンブリ125を用いることにより実現することができ、これにより電極120を定位置に保持する。
次に、引き出されたイオンビーム130は、質量分析器140に入り得る。イオンビームは、案内管(図示せず)を通って質量分析器へと流れる。いくつかの実施形態において、イオンビームを集束するべく、四極子レンズ144またはアインゼルレンズ等の集束素子が用いられ得る。分析スリット145は、所望の電荷/質量比を有する複数のイオンのみを引き出す質量分析器140の出力部に配置される。ここで対象となる複数のイオンのみを含む分析済みのイオンビーム150は、次に、基板支持体180に装着され得る基板190に注入される。いくつかの実施形態において、1もしくは複数の加速もしくは減速段170が利用され、分析済みのイオンビーム150の速度を調整し得る。これらの加速または減速段170は、処理チャンバ185に近接して配置され得る。基板190および基板支持体180は、処理チャンバ185内に配置され得る。
当業者は、図1に示されない他の複数のコンポーネントもイオン注入システムの一部となり得ることを理解する。また、当業者は、質量分析器140、または加速もしくは減速段170等、図1の様々なコンポーネントが一定のイオン注入システムにおいて用いられない場合があることを理解する。
質量分析器140の壁部等、スパッタリングおよびコーティングの影響を特に受けやすい複数の領域は、内張りされ得る。他の影響を受けやすい複数の領域としては、四極子およびアインゼルレンズ144等の集束コンポーネントのライナ、ならびにイオンビームがライナ材料の近くを進む加速もしくは減速電極アセンブリが挙げられ得る。一実施形態によれば、ライナ195は、グラファイトではなくシリコンであり得る。シリコンはいくつかの利点を有する。まず、シリコンはあまり高価でなく、容易に入手可能であり、非常に高い純度で利用可能である。更に、シリコンは、処理される基板と同一の材料であり得る。従って、ライナ195により生成される任意の微粒子は、最小の汚染のみを生じさせる。更に、シリコンは、グラファイトよりも少ない微粒子を生成する傾向がある。
これらの利点全てにも拘わらず、遺憾なことに研磨シリコンは、堆積材料を良好に維持または保持しない。更に、シリコンはグラファイトと異なり、機械的にテクスチャ化するのが非常に困難である。従って、複数の研磨シリコンライナからコーティング材料を剥離することは、問題である場合がある。
従来のグラファイトライナと異なり、本開示の複数のシリコンライナ195は、化学的処理を用いてテクスチャ化される。本開示において用いられるテクスチャ化は、表面積を増大させるべく、ライナ表面に対する不完全性の導入として特徴付けられる。従って、テクスチャ化はライナ表面を粗くするべく用いられる。この表面積の増大により、ライナが複数のコーティング材料を保持する能力を改善する。更に、不完全性は、コーティング材料が付着し得るいくつかの構造体を提供する。例えば、コーティング材料は、隣接する複数のマイクロピラミッド間に生成される凹みに、より容易に付着し得る。テクスチャ化は、機械的手段または化学的手段を用いて実行され得る。このテクスチャ化された、または粗い表面は、システムの内部に面するように、システム内に配置される。
一実施形態において、内張りされるイオン注入システムの区域または領域に合わせて寸法取りされ得る、複数のシリコンシートが提供される。別の実施形態において、複数のシリコンシートは知られているか、または特定のサイズを有し、所望の領域を内張りするために用いられる所望のサイズのライナを生成するべく、複数のシートが用いられる。
複数のシリコンライナ195は、注入システムの複数のコンポーネント上に配置される。上述したように、これらのライナは、質量分析器140、分析スリット145を囲繞するシールド、処理チャンバの複数の壁部、複数の集束コンポーネント、複数の電極または他の区域に配置され得る。いくつかの実施形態において、これらのシリコンライナは、重いか、または強度のイオンビーム衝突に曝露されるイオン注入システムの複数の領域に配置され得る。シリコンは、微粒子を生じさせる可能性がより小さいからである。いくつかの実施形態において、複数のシリコンライナ195は、電力供給されない電極等、電気的にバイアスされない複数のコンポーネント上に配置される。
しかし、複数の他の実施形態において、内張りされるコンポーネントは、質量分析器140等の電圧でバイアスされ得る。換言すれば、シリコンライナは、電気的にバイアスされた表面に配置され得る。シリコンは当然に非導電性であるので、シリコンライナは、ライナを電気的に導電性にするべくドープされ得る。例えば、シリコンライナをホウ素またはリンでドープすると、バルク抵抗を10ohm−cm未満に低減し得る。
これらの実施形態のいずれかにおいて、ライナ195は、(100)結晶の表面がイオンビームに曝露されるように付加される。曝露表面と呼ばれるこの表面は、水酸化ナトリウムまたは水酸化バリウム等の水酸化物を用いて処理される。この処理により、シリコンライナ195の曝露表面上に複数のマイクロピラミッドが生成される。この処理表面の拡大表示が図2に示される。この図にみられる複数のマイクロピラミッドは、約5マイクロメートルの高さになり得る。複数の他の実施形態において、これらのマイクロピラミッドは、約10マイクロメートルの高さになり得る。複数の他の実施形態において、これらのマイクロピラミッドは、20マイクロメートルの高さになり得る。更に、図2に見られるように、これらのマイクロピラミッドの高さおよび間隔は不規則であり、従ってマイクロピラミッドの高さは変化する。同様に、これらのマイクロピラミッド間の間隔も変化する場合がある。換言すれば、シリコンの化学的テクスチャ化は、テクスチャ化グラファイトライナに従来見られる特徴よりも50倍超小さい複数の特徴を生成する。驚くべきことに、このテクスチャ化表面は、切断または研磨されたシリコンよりも強固に堆積材料上に保持され得、堆積材料ならびにより深くテクスチャ化されたグラファイトライナ上に保持され得る。
従って、一実施形態において、イオン注入システムは、少なくとも1つの表面を備え、表面は、粗い曝露表面を生成するように化学的に処理されたシリコンライナを用いて内張りされる。化学的処理は、高温の水酸化物溶液等の水酸化物に対して曝露することにより実行され得る。
図1および上記の開示はビームラインによるイオン注入システムを説明するが、テクスチャ化シリコンライナは、複数の他のタイプのイオン注入システムにおいて利用され得る。例えば、図3は、基板処理システム200を示す。一実施形態において、この基板処理システム200は、PLAD注入システムであってもよい。本実施形態において、PLAD注入システム200は、いくつかの壁部207により画定されたチャンバ205を含み、壁部207は、グラファイト、シリコン、シリコンケイ素または別の好適な材料から構成され得る。このチャンバ205には供給ガスが供給され得、ガスインレット210を介してガス供給源211に格納される。この供給ガスは、プラズマ生成器によりエネルギーを与えられ得る。いくつかの実施形態において、プラズマ250を生成するべく、RFアンテナ220または別の機構が用いられる。RFアンテナ220は、RFアンテナ220に電力を供給するRF電源(図示せず)と電気的に通信する。石英またはアルミナ窓等の誘電体窓225は、RFアンテナ220と注入チャンバ205の内部との間に配置され得る。システム200は、コントローラ275も含む。コントローラ275は、様々なシステムおよびコンポーネントから入力信号を受信し、出力信号を各々に提供してそれらを制御し得る。
プラズマ250における複数の正帯電イオン255は、チャンバ205(プラズマ250の電位を規定する)と基板260との間の電位差により基板260に引き付けられる。いくつかの実施形態において、複数の壁部207は、基板260よりも正にバイアスされる場合がある。例えば、複数の壁部207は、正にバイアスされるチャンバ電源280と電気的に連通し得る。本実施形態において、基板260はプラテン230と通信し、プラテン230はバイアス電源281と通信し、バイアス電源281は、チャンバ電源280により印加されるものよりも低い電圧でバイアスされる。一定の実施形態において、バイアス電源281は、接地電位に維持され得る。第2の実施形態において、チャンバ電源280は、接地され得るが、バイアス電源281は、負の電圧でバイアスされ得る。これら2つの実施形態は、接地電位にある基板260または複数の壁部207のいずれかを説明するが、これは必要とされない。プラズマ250からの複数のイオン255は、複数の壁部207がプラテン230に印加されるものよりも大きい任意の電圧でバイアスされる限り、基板260に引き付けられる。
複数のライナ290は、複数の壁部207の内側表面に沿うなどして、チャンバ205内に配置され得る。ライナの(100)結晶表面がチャンバ205の内部に面するように、ライナ290は、複数のチャンバ壁部207に接着され、またはそうでなければ付着され得る。換言すれば、この(100)結晶表面は、チャンバ205内で生成された複数のコーティング材料に曝露される。上述したように、電気的にバイアスされたチャンバ壁部に用いられる場合、シリコンライナ290はドーパントを用いてドープされ、取り付けられる前にバルク抵抗を低減し得る。
図4に示されるシステムは、堆積またはエッチングシステムとして用いられ得る。このシステム300は、図3に示されるものに類似する。同一の機能を有する複数の要素には、同一の参照符号が付され、再度説明されない。低い温度堆積システムは、2つの分類、物理蒸着(PVD)またはプラズマ強化化学蒸着(PECVD)のうちの1つに属し得る。
「原子スパッタリング」とも呼ばれるPVDは、堆積される材料の標的251を用い、負の電位を標的251に印加するべく電源282を利用する。真空処理チャンバは図4のチャンバ205であってもよく、標的251、およびコーティングを受ける基板260の双方を格納する。チャンバ205は、ガス(通常はアルゴン)で数ミリトールの圧力までバック充填される。電源282により標的251に印加される電位はガスのプラズマ250を生成し、プラズマ250内の複数の正イオンは標的251に引き付けられ、十分なエネルギーで標的に衝突し、複数の標的原子を除去する。これらの原子は、標的251から排出され、全方向、つまりコサイン分散として説明される軌道を進み、複数のチャンバ壁部207、複数の保護ライナ290および基板260を衝突する。従って、本実施形態において、バイアスされた標的251は、プラズマ生成器として機能し得る。いくつかの実施形態において、堆積処理中に複数のイオンで基板260を照射するべく、通常はカウフマンタイプのイオン源が導入され得る(「イオン支援堆積」)。通常、PVDは、アルミニウム、銅、ニッケルおよびチタン等の金属コーティングを塗布し、集積回路における接点および相互接続を形成するべく用いられる。
PECVDは、堆積される材料を含む処理ガスを用い、従って図4に示される標的251および電源282を含まない。プラズマ250は、処理ガスへのRF電力を誘導もしくは静電容量結合する等、プラズマ生成器により真空処理チャンバ205内で生成され、これはRFアンテナ220を用いて実行され得る(図3を参照されたい)。このプラズマ250により、処理ガスは分離し、基板260を含む全ての曝露表面がコーティングされる。一例は、SiHの分離による非結晶シリコンの堆積である。また、複数の処理ガスの混合物は、SiまたはSiO等の化合物を堆積させるべく利用され得る。通常、PECVDは、集積回路においてバリアまたは層間誘電体として機能する誘電体コーティングを塗布するべく用いられ得る。
別の実施形態において、図4のチャンバは、エッチングステーションを生成するべく用いられ得る。プラズマまたは「ドライ」エッチングにおいて、プラズマ生成器は、チャンバ205に静電容量的に結合され得る。例えば、RF電力は、静電容量結合により基板260に供給される。真空処理チャンバ205は、「スパッタリングエッチング」とよばれる処理用の不活性(通常はアルゴン)ガス、または「反応性イオンエッチング」と呼ばれる処理用の反応性ガス(NF、CFまたは複数の反応性ガスの混合物)のいずれかでバック充填される。基板260から除去された材料は、複数のチャンバ壁部207およびライナ290上に堆積し得、反応性ガスとの揮発性化合物を形成し、吸い出され得る。
これらの実施形態の全てにおいて、最終的には全てのライナについて、コーティングが除去されなければならないライナ上に形成される。これらのテクスチャ化シリコンライナは、予防的メンテナンスが必要とされるまでにすでに可能であったときよりも長く、基板処理システムがなおも動作可能であることを可能にし得る。更に、これらのテクスチャ化シリコンライナのクリーニングはあまり煩雑ではなく、従来のグラファイトライナほど困難ではなくなり得る。一実施形態によれば、特定の膜を除去するべく用いられる、脱イオン水およびイソプロピルアルコール(IPA)の混合物等のクリーナが用いられ得る。別の実施形態において、コーティングを除去するべく、グリッドブラスト法が利用され得る。このグリッドブラスト法の処理は、ドライまたはウェット処理である場合がある。いくつかの実施形態において、このクリーニングプロセスは、テクスチャをシリコンライナから除去し得る。これらの実施形態において、ライナの粗さは、曝露表面を、本明細書において説明されるように高温の水酸化物溶液に曝露する等、別の化学的処理に曝露することにより回復され得る。従って、第1のクリーニング段階が実行され、これは機械的(すなわち、グリット)または化学的(すなわち、クリーニング溶液)であってもよい。この後、クリーニングされたライナは、ライナを再度テクスチャ化する化学的処理に曝露される。従って、たとえクリーニングサイクル後であっても、複数のシリコンライナのテクスチャは、迅速かつ経済的に回復され得る。
シリコンライナのテクスチャ化は、約5〜10マイクロメートルの材料を除去する。シリコンライナは0.5〜3ミリメートル(テクスチャ化により除去された材料の深さよりも数百倍厚い)であり得、取り替える必要が生じるまで、ライナは何度でも再調整(すなわち、再度テクスチャ化)され得る。従って、シリコンライナは、使用寿命の終りに達するまで複数の予防的メンテナンスサイクルを受け得る。
本開示は、本明細書において説明される特定の複数の実施形態により範囲を限定されるものではない。実際に、本開示の他の様々な実施形態および変更形態は、本明細書において説明されるものに加えて、前述の説明および添付の図面から当業者には明らかになるであろう。従って、そのような複数の他の実施形態および変更形態は、本開示の範囲に包含されることが意図される。更に、本開示は特定の目的のための特定の環境における特定の実装という状況で本明細書において説明されてきたが、本開示の有用性はそれに限定されるものではなく、本開示は任意の数の目的のために任意の数の環境で有益に実装され得ることを当業者は理解するであろう。従って、以下の特許請求の範囲は、本明細書において説明される本開示の全範囲および趣旨を考慮して解釈されるべきである。

Claims (15)

  1. イオン注入システムであって
    イオン源と、
    基板が配置される処理チャンバと、
    前記イオン注入システム内に配置されたシリコンライナとを備え、
    前記シリコンライナの表面は、テクスチャ化される、イオン注入システム。
  2. 前記シリコンライナは、重イオンビーム衝突に曝露される前記システムの領域に配置される、請求項1に記載のイオン注入システム。
  3. 質量分析器内に位置する案内管を更に備え、
    前記シリコンライナは、前記質量分析器の前記案内管内に配置される、請求項1または2に記載のイオン注入システム。
  4. 集束素子を更に備え、
    前記シリコンライナは、前記集束素子上に配置される、請求項1〜3のいずれか1項に記載のイオン注入システム。
  5. 前記処理チャンバに近接して配置された加速または減速電極アセンブリを更に備え、
    前記シリコンライナは、前記加速または減速電極アセンブリ上に配置される、請求項1〜4のいずれか1項に記載のイオン注入システム。
  6. 質量分析器の出力部に近接して配置された分析スリットを更に備え、
    前記シリコンライナは、前記分析スリット上に配置される、請求項1〜5のいずれか1項に記載のイオン注入システム。
  7. 前記シリコンライナのテクスチャ化された前記表面は、20マイクロメートル未満の高さを有する複数のマイクロピラミッドを含む、請求項1〜6のいずれか1項に記載のイオン注入システム。
  8. 前記シリコンライナは、電気的にバイアスされた表面に配置され、
    前記シリコンライナは、バルク抵抗を低減するようにドープされる、請求項1〜7のいずれか1項に記載のイオン注入システム。
  9. 前記シリコンライナのテクスチャ化された前記表面は、化学的に処理された表面を含む、請求項1〜8のいずれか1項に記載のイオン注入システム。
  10. イオン源と、
    前記イオン源の外側に配置され、前記イオン源内で生成される複数のイオンを引き付けてイオンビームを形成する電極と、
    前記イオンビームが通過する質量分析器と、
    所望の電荷/質量比の複数のイオンが通過することを可能にする前記質量分析器の出力部における分析スリットと、
    前記イオンビームを集束する前記イオンビームの経路における集束素子と、
    基板が配置される処理チャンバと、
    前記分析スリットの周囲のシールド上または前記集束素子上に配置されたシリコンライナとを備え、
    前記イオンビームの方を向く前記シリコンライナの表面は、テクスチャ化された前記表面が20マイクロメートル未満の高さを有する複数のマイクロピラミッドを含むように、化学的にテクスチャ化される、ビームラインイオン注入システム。
  11. 基板が配置される複数のチャンバ壁部を有する処理チャンバと、
    前記処理チャンバと連通して前記処理チャンバに供給ガスを供給するガス供給源と、
    前記供給ガスからプラズマを生成するプラズマ生成器と、
    前記処理チャンバの前記複数のチャンバ壁部のうちの少なくとも1つの表面に配置されたシリコンライナとを備え、
    前記処理チャンバの内部に面する前記シリコンライナの表面は、テクスチャ化される、基板処理システム。
  12. 前記シリコンライナのテクスチャ化された前記表面は、20マイクロメートル未満の高さを有する複数のマイクロピラミッドを含む、請求項11に記載の基板処理システム。
  13. 前記複数のマイクロピラミッドの高さは、変化する、請求項12に記載の基板処理システム。
  14. 前記複数のマイクロピラミッド間の間隔は、変化する、請求項12に記載の基板処理システム。
  15. 前記シリコンライナのテクスチャ化された前記表面は、化学的に処理された表面を含む、請求項11に記載の基板処理システム。
JP2016523883A 2013-06-27 2014-06-25 イオン注入システム Active JP6652255B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/928,815 US9437397B2 (en) 2013-06-27 2013-06-27 Textured silicon liners in substrate processing systems
US13/928,815 2013-06-27
PCT/US2014/044074 WO2014210141A1 (en) 2013-06-27 2014-06-25 Textured silicon liners in substrate processing systems

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019210197A Division JP6801851B2 (ja) 2013-06-27 2019-11-21 基板処理システム、イオン注入システム、およびビームラインイオン注入システム

Publications (2)

Publication Number Publication Date
JP2016528677A true JP2016528677A (ja) 2016-09-15
JP6652255B2 JP6652255B2 (ja) 2020-02-19

Family

ID=52114659

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2016523883A Active JP6652255B2 (ja) 2013-06-27 2014-06-25 イオン注入システム
JP2019210197A Active JP6801851B2 (ja) 2013-06-27 2019-11-21 基板処理システム、イオン注入システム、およびビームラインイオン注入システム

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019210197A Active JP6801851B2 (ja) 2013-06-27 2019-11-21 基板処理システム、イオン注入システム、およびビームラインイオン注入システム

Country Status (6)

Country Link
US (2) US9437397B2 (ja)
JP (2) JP6652255B2 (ja)
KR (2) KR102097308B1 (ja)
CN (1) CN105474357B (ja)
TW (2) TWI596639B (ja)
WO (1) WO2014210141A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210305016A1 (en) * 2018-06-14 2021-09-30 National University Corporation Kyoto Institute Of Technology Specific type ion source and plasma film forming apparatus

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9437397B2 (en) 2013-06-27 2016-09-06 Varian Semiconductor Equipment Associates, Inc. Textured silicon liners in substrate processing systems
WO2017031571A1 (en) * 2015-08-22 2017-03-02 Novena Tec Inc. Process chamber shielding system and method
US11114289B2 (en) * 2016-04-27 2021-09-07 Applied Materials, Inc. Non-disappearing anode for use with dielectric deposition
US11685990B2 (en) * 2017-12-08 2023-06-27 Applied Materials, Inc. Textured processing chamber components and methods of manufacturing same
US10811214B2 (en) * 2019-01-18 2020-10-20 Applied Materials, Inc. Low emission cladding and ion implanter
SG11202108622PA (en) * 2019-02-06 2021-09-29 Lam Res Corp Textured silicon semiconductor processing chamber components
WO2021025849A1 (en) * 2019-08-05 2021-02-11 Applied Materials, Inc. Coating for chamber particle reduction
CN111341632B (zh) * 2020-03-02 2023-03-14 京东方科技集团股份有限公司 一种碳板结构、分析磁场以及离子注入设备
US20220375727A1 (en) * 2021-05-19 2022-11-24 Applied Materials, Inc. Method to improve wafer edge uniformity
GB202203146D0 (en) * 2022-03-07 2022-04-20 Micromass Ltd Shield for ion source enclosure

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4560879A (en) * 1983-09-16 1985-12-24 Rca Corporation Method and apparatus for implantation of doubly-charged ions
JPH06119902A (ja) * 1991-03-20 1994-04-28 Ulvac Japan Ltd イオン注入装置
JPH1084125A (ja) * 1996-07-08 1998-03-31 Semiconductor Energy Lab Co Ltd 光電変換装置およびその製造方法
US20070157652A1 (en) * 2004-08-27 2007-07-12 Hoshizaki Denki Kabushiki Kaisha Ice-making unit for flow-down type ice maker
JP2008204944A (ja) * 2007-01-09 2008-09-04 Applied Materials Inc イオン注入装置の改良
JP2009516332A (ja) * 2005-11-10 2009-04-16 アクセリス テクノロジーズ インコーポレーテッド 汚染物収集面を備えたイオン注入装置
US20120276750A1 (en) * 2002-08-29 2012-11-01 Micron Technology, Inc. Method and system for binding halide-based contaminants

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5672879A (en) 1995-06-12 1997-09-30 Glavish; Hilton F. System and method for producing superimposed static and time-varying magnetic fields
US6716302B2 (en) 2000-11-01 2004-04-06 Applied Materials Inc. Dielectric etch chamber with expanded process window
US7078710B2 (en) 2004-06-15 2006-07-18 International Business Machines Corporation Ion beam system
US8486845B2 (en) * 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
EP2027586A4 (en) 2006-06-13 2010-11-24 Semequip Inc ION EMBELLER AND METHOD FOR ION IMPLANTATION
ATE516371T1 (de) * 2007-10-22 2011-07-15 Lgc Ltd Oligonukleotide und deren verwendungen
US7838849B2 (en) * 2007-10-24 2010-11-23 Applied Materials, Inc. Ion implanters
KR100921635B1 (ko) * 2007-10-30 2009-10-14 주식회사 케이씨텍 플라즈마 기판 처리 장치
US20090206521A1 (en) * 2008-02-14 2009-08-20 Bakir Begovic Method of manufacturing liner for semiconductor processing chamber, liner and chamber including the liner
SG162642A1 (en) * 2009-01-06 2010-07-29 Frontken Singapore Pte Ltd Techniques for maintaining a substrate processing system
JP5925084B2 (ja) 2012-08-28 2016-05-25 住友重機械イオンテクノロジー株式会社 イオン生成方法およびイオン源
US9437397B2 (en) 2013-06-27 2016-09-06 Varian Semiconductor Equipment Associates, Inc. Textured silicon liners in substrate processing systems

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4560879A (en) * 1983-09-16 1985-12-24 Rca Corporation Method and apparatus for implantation of doubly-charged ions
JPH06119902A (ja) * 1991-03-20 1994-04-28 Ulvac Japan Ltd イオン注入装置
JPH1084125A (ja) * 1996-07-08 1998-03-31 Semiconductor Energy Lab Co Ltd 光電変換装置およびその製造方法
US20120276750A1 (en) * 2002-08-29 2012-11-01 Micron Technology, Inc. Method and system for binding halide-based contaminants
US20070157652A1 (en) * 2004-08-27 2007-07-12 Hoshizaki Denki Kabushiki Kaisha Ice-making unit for flow-down type ice maker
JP2009516332A (ja) * 2005-11-10 2009-04-16 アクセリス テクノロジーズ インコーポレーテッド 汚染物収集面を備えたイオン注入装置
JP2008204944A (ja) * 2007-01-09 2008-09-04 Applied Materials Inc イオン注入装置の改良

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20210305016A1 (en) * 2018-06-14 2021-09-30 National University Corporation Kyoto Institute Of Technology Specific type ion source and plasma film forming apparatus

Also Published As

Publication number Publication date
JP2020064860A (ja) 2020-04-23
KR20160027015A (ko) 2016-03-09
TWI643232B (zh) 2018-12-01
TW201511063A (zh) 2015-03-16
KR102097308B1 (ko) 2020-04-06
JP6801851B2 (ja) 2020-12-16
US20160343545A1 (en) 2016-11-24
CN105474357A (zh) 2016-04-06
TW201715555A (zh) 2017-05-01
CN105474357B (zh) 2019-07-30
US9799492B2 (en) 2017-10-24
KR20170029657A (ko) 2017-03-15
WO2014210141A1 (en) 2014-12-31
KR101821338B1 (ko) 2018-01-23
JP6652255B2 (ja) 2020-02-19
TWI596639B (zh) 2017-08-21
US20150001391A1 (en) 2015-01-01
US9437397B2 (en) 2016-09-06

Similar Documents

Publication Publication Date Title
JP6801851B2 (ja) 基板処理システム、イオン注入システム、およびビームラインイオン注入システム
EP3711078B1 (en) Linearized energetic radio-frequency plasma ion source
KR101048057B1 (ko) 플라즈마 잠입 이온을 이용한 가공 장치 및 방법
CN108140523B (zh) 用于离子注入系统的具有唇缘的离子源内衬
US4716340A (en) Pre-ionization aided sputter gun
US20100096568A1 (en) Substrate processing apparatus and cleaning method of the same
US8080813B2 (en) Ion implanter, internal structure of ion implanter and method of forming a coating layer in the ion implanter
KR100273326B1 (ko) 고주파 스퍼터링 장치 및 이를 이용한 박막형성방법
KR100326503B1 (ko) 차폐된보조애노드를이용한전기적절연물질의dc반응성플라즈마기상증착장치및방법
US7173260B2 (en) Removing byproducts of physical and chemical reactions in an ion implanter
JP2015088218A (ja) イオンビーム処理装置及び中和器
JP4164154B2 (ja) イオン化スパッタリング装置
US20220119954A1 (en) Substrate processing tool capable of modulating one or more plasma temporally and/or spatially
JP4370949B2 (ja) 成膜方法
JPS62167878A (ja) Ecrスパツタ装置
KR20040012264A (ko) 고효율 마그네트론 스퍼터링 장치
KR20110029500A (ko) 플라즈마 성막 장치
JP2011208185A (ja) スパッタリング装置
JP2010053443A (ja) プラズマ発生装置及び成膜装置並びに成膜方法及び表示素子の製造方法
KR0156144B1 (ko) 하전입자를 이용한 스퍼터링장치 및 스퍼터링증착방법
JPS6127463B2 (ja)
JP2019096517A (ja) イオン注入方法、イオン注入装置
Ikehata Recent Topics in R&D of the Plasma-Based Ion Process
JP2006328437A (ja) 成膜装置および成膜方法
JPH0488164A (ja) 薄膜形成装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170623

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180322

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180410

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20180709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181211

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20190723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191121

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20191128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20191224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200116

R150 Certificate of patent or registration of utility model

Ref document number: 6652255

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250