JP2016519844A5 - - Google Patents

Download PDF

Info

Publication number
JP2016519844A5
JP2016519844A5 JP2016500194A JP2016500194A JP2016519844A5 JP 2016519844 A5 JP2016519844 A5 JP 2016519844A5 JP 2016500194 A JP2016500194 A JP 2016500194A JP 2016500194 A JP2016500194 A JP 2016500194A JP 2016519844 A5 JP2016519844 A5 JP 2016519844A5
Authority
JP
Japan
Prior art keywords
ring
gas delivery
gas
insert
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016500194A
Other languages
English (en)
Other versions
JP6473131B2 (ja
JP2016519844A (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from PCT/US2014/014389 external-priority patent/WO2014163742A1/en
Publication of JP2016519844A publication Critical patent/JP2016519844A/ja
Publication of JP2016519844A5 publication Critical patent/JP2016519844A5/ja
Application granted granted Critical
Publication of JP6473131B2 publication Critical patent/JP6473131B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Description

更なる関連する一実施形態では、側部ガス注入キットは、更に、(a)上部ライナリング内の複数のガス送出インサートポケットと、(b)ガス送出インサートポケット内へ延びる複数のガス送出インサートと、(c)ガス送出インサートと同心の複数のOリングインサート溝の各々と、複数のガス送出インサートポケットの対応するものの内部側壁に対して圧縮される複数のOリングインサート溝内の第2の複数のOリングとを更に含む。
本発明の例示的な実施形態が達成される方法を詳細に理解することができるように、上記で簡単に要約した本発明のより詳細な説明を、添付図面に示されるその実施形態を参照して得ることができる。特定の周知のプロセスは、本発明を不明瞭にしないために、本明細書で説明されていないことを理解すべきである。
一実施形態の簡略化したブロック図である。 図1に対応する立面図である。 8つのガス出口を有する一実施形態を示す。 図3の実施形態用の側部ガス送出キットを示す。 図4の側部ガス送出キットの切欠断面図である。 図5の一部の拡大図である。 底部ライナを示す。 底部ライナによって囲まれたワークピース支持台を示す。 上部からの上部ライナリングの図を示す。 底部からの上部ライナリングの図を示す。 図10の一部の拡大図である。 それぞれガス送出リングの上面図及び底面図である。 図12の線14−14に沿った拡大断面図である。 図6の注入ノズルの拡大図である。 図15に対応する断面図である。 図6のガス送出インサートの拡大図である。 図17に対応する断面図である。 図4の実施形態で使用されたガス送出ブロックを示す。 図19に対応する断面図である。 図4のガス分配リング、上部ライナリング、注入ノズル、及びガス分配インサートの分解アセンブリを示す。 図21の一部の拡大図である。
図21及び図22内の組み立て手順は、上部ライナリング140内のノズルポケット164内に注入ノズル148を挿入する工程と、ガス送出リング144上にガス送出インサート146を取り付ける工程と、その後、ガス送出インサート146をそれぞれのインサート収容穴186内に挿入するようにガス送出リング140と上部ライナリング140を一緒にする工程を伴う。

Claims (1)

  1. 前記チャンバはチャンバ内部を含み、前記側部ガスプレナムはライナエッジを含み、
    前記プラズマリアクタは、
    前記ライナエッジの上方のガス送出リングであって、前記複数の組のガス流チャネルは、前記ガス送出リング内に形成されるガス送出リングと、
    前記ガス送出リングの上方の上部ライナリングであって、前記複数の側部ガス出口は、前記上部ライナリング内へ延び、前記上部ライナリングは、前記チャンバ内部に対向する上部ライナリング面を含む上部ライナリングとを含む請求項5記載のプラズマリアクタ。
JP2016500194A 2013-03-12 2014-02-03 方位角方向及び半径方向分布制御を備えたマルチゾーンガス注入アセンブリ Active JP6473131B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201361777225P 2013-03-12 2013-03-12
US61/777,225 2013-03-12
PCT/US2014/014389 WO2014163742A1 (en) 2013-03-12 2014-02-03 Multi-zone gas injection assembly with azimuthal and radial distribution control

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2018018875A Division JP6563535B2 (ja) 2013-03-12 2018-02-06 方位角方向及び半径方向分布制御を備えたマルチゾーンガス注入アセンブリ

Publications (3)

Publication Number Publication Date
JP2016519844A JP2016519844A (ja) 2016-07-07
JP2016519844A5 true JP2016519844A5 (ja) 2016-12-28
JP6473131B2 JP6473131B2 (ja) 2019-02-20

Family

ID=51658780

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016500194A Active JP6473131B2 (ja) 2013-03-12 2014-02-03 方位角方向及び半径方向分布制御を備えたマルチゾーンガス注入アセンブリ
JP2018018875A Active JP6563535B2 (ja) 2013-03-12 2018-02-06 方位角方向及び半径方向分布制御を備えたマルチゾーンガス注入アセンブリ
JP2019135834A Active JP6862505B2 (ja) 2013-03-12 2019-07-24 方位角方向及び半径方向分布制御を備えたマルチゾーンガス注入アセンブリ

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2018018875A Active JP6563535B2 (ja) 2013-03-12 2018-02-06 方位角方向及び半径方向分布制御を備えたマルチゾーンガス注入アセンブリ
JP2019135834A Active JP6862505B2 (ja) 2013-03-12 2019-07-24 方位角方向及び半径方向分布制御を備えたマルチゾーンガス注入アセンブリ

Country Status (6)

Country Link
US (3) US10008368B2 (ja)
JP (3) JP6473131B2 (ja)
KR (3) KR102176189B1 (ja)
CN (3) CN107424901B (ja)
TW (1) TWI586829B (ja)
WO (1) WO2014163742A1 (ja)

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
US10008368B2 (en) 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
WO2014149200A1 (en) 2013-03-15 2014-09-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
US20150118416A1 (en) * 2013-10-31 2015-04-30 Semes Co., Ltd. Substrate treating apparatus and method
CN105529237B (zh) * 2014-10-23 2018-05-01 中微半导体设备(上海)有限公司 气体导流环、气体供应装置及等离子体处理装置
JP6258184B2 (ja) * 2014-11-13 2018-01-10 東京エレクトロン株式会社 基板処理装置
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10957561B2 (en) * 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
CN106876299B (zh) * 2015-12-11 2019-08-23 北京北方华创微电子装备有限公司 半导体加工设备
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10304668B2 (en) * 2016-05-24 2019-05-28 Tokyo Electron Limited Localized process control using a plasma system
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
CN106783500A (zh) * 2017-01-03 2017-05-31 京东方科技集团股份有限公司 镀膜设备
US20210087687A1 (en) * 2017-04-10 2021-03-25 Picosun Oy Uniform deposition
KR101979599B1 (ko) * 2017-05-11 2019-05-21 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
WO2018222430A2 (en) * 2017-05-31 2018-12-06 Lam Research Corporation Detection system for tunable/replaceable edge coupling ring
US10927459B2 (en) 2017-10-16 2021-02-23 Asm Ip Holding B.V. Systems and methods for atomic layer deposition
US10751765B2 (en) * 2018-08-13 2020-08-25 Applied Materials, Inc. Remote plasma source cleaning nozzle for cleaning a gas distribution plate
KR102610827B1 (ko) * 2018-12-20 2023-12-07 어플라이드 머티어리얼스, 인코포레이티드 개선된 가스 유동을 처리 챔버의 처리 용적에 공급하기 위한 방법 및 장치
US11486038B2 (en) * 2019-01-30 2022-11-01 Applied Materials, Inc. Asymmetric injection for better wafer uniformity
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
CN112017932B (zh) * 2019-05-31 2022-11-29 中微半导体设备(上海)股份有限公司 等离子体处理装置中气体输送系统的耐腐蚀结构
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
JP7330079B2 (ja) * 2019-11-28 2023-08-21 東京エレクトロン株式会社 プラズマ処理装置
JP7333762B2 (ja) * 2020-02-05 2023-08-25 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN111564399B (zh) * 2020-05-25 2023-12-22 北京北方华创微电子装备有限公司 半导体工艺设备中的匀流机构及半导体工艺设备
TW202230438A (zh) * 2020-10-05 2022-08-01 日商東京威力科創股份有限公司 氣體供給環及基板處理裝置
CN112981371B (zh) * 2021-02-03 2023-05-30 上海大学绍兴研究院 一种化学气相沉积模具
US20230057145A1 (en) * 2021-08-23 2023-02-23 Applied Materials, Inc. Plasma chamber with a multiphase rotating cross-flow with uniformity tuning

Family Cites Families (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5742362A (en) * 1980-08-22 1982-03-09 Ikeuchi:Kk Atomized spray generator
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JP2655685B2 (ja) 1988-07-01 1997-09-24 沖電気工業株式会社 音声帯域信号処理プロセッサ
US5188671A (en) * 1990-08-08 1993-02-23 Hughes Aircraft Company Multichannel plate assembly for gas source molecular beam epitaxy
US6165311A (en) * 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
CH687258A5 (de) * 1993-04-22 1996-10-31 Balzers Hochvakuum Gaseinlassanordnung.
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
JPH07161688A (ja) * 1993-12-03 1995-06-23 Toshiba Corp エッチング装置
US5522934A (en) * 1994-04-26 1996-06-04 Tokyo Electron Limited Plasma processing apparatus using vertical gas inlets one on top of another
US5702530A (en) * 1995-06-23 1997-12-30 Applied Materials, Inc. Distributed microwave plasma reactor for semiconductor processing
TW283250B (en) * 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
DE29517100U1 (de) * 1995-10-17 1997-02-13 Zimmer, Johannes, Klagenfurt Strömungsteilungs- und -umformungskörper
TW356554B (en) * 1995-10-23 1999-04-21 Watkins Johnson Co Gas injection system for semiconductor processing
US5772771A (en) * 1995-12-13 1998-06-30 Applied Materials, Inc. Deposition chamber for improved deposition thickness uniformity
US5746834A (en) * 1996-01-04 1998-05-05 Memc Electronics Materials, Inc. Method and apparatus for purging barrel reactors
US6200412B1 (en) * 1996-02-16 2001-03-13 Novellus Systems, Inc. Chemical vapor deposition system including dedicated cleaning gas injection
US6070551A (en) * 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US6013155A (en) * 1996-06-28 2000-01-11 Lam Research Corporation Gas injection system for plasma processing
EP0958401B1 (en) * 1996-06-28 2004-09-08 Lam Research Corporation Apparatus and method for high density plasma chemical vapor deposition or etching
US6626185B2 (en) * 1996-06-28 2003-09-30 Lam Research Corporation Method of depositing a silicon containing layer on a semiconductor substrate
US5885358A (en) * 1996-07-09 1999-03-23 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
JPH10242129A (ja) * 1997-02-26 1998-09-11 Ebara Corp ガスエッチング方法、ガス噴出用ノズル及びガスエッチング装置
US5846330A (en) * 1997-06-26 1998-12-08 Celestech, Inc. Gas injection disc assembly for CVD applications
JP3266567B2 (ja) 1998-05-18 2002-03-18 松下電器産業株式会社 真空処理装置
US6143078A (en) * 1998-11-13 2000-11-07 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6486081B1 (en) * 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6230651B1 (en) * 1998-12-30 2001-05-15 Lam Research Corporation Gas injection system for plasma processing
US6372291B1 (en) * 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6503368B1 (en) * 2000-06-29 2003-01-07 Applied Materials Inc. Substrate support having bonded sections and method
US6896737B1 (en) * 2000-08-28 2005-05-24 Micron Technology, Inc. Gas delivery device for improved deposition of dielectric material
US6333272B1 (en) * 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6589868B2 (en) * 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
US20030192645A1 (en) * 2002-04-16 2003-10-16 Applied Materials, Inc. Method and apparatus for creating circumferential process gas flow in a semiconductor wafer plasma reactor chamber
JP3861036B2 (ja) * 2002-08-09 2006-12-20 三菱重工業株式会社 プラズマcvd装置
KR100862658B1 (ko) * 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
KR100500246B1 (ko) * 2003-04-09 2005-07-11 삼성전자주식회사 가스공급장치
US7250114B2 (en) * 2003-05-30 2007-07-31 Lam Research Corporation Methods of finishing quartz glass surfaces and components made by the methods
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
JP4559202B2 (ja) 2004-07-30 2010-10-06 東京エレクトロン株式会社 プラズマエッチング装置
US20060042754A1 (en) 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US7722737B2 (en) * 2004-11-29 2010-05-25 Applied Materials, Inc. Gas distribution system for improved transient phase deposition
US20060124169A1 (en) * 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20070021935A1 (en) * 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7651587B2 (en) * 2005-08-11 2010-01-26 Applied Materials, Inc. Two-piece dome with separate RF coils for inductively coupled plasma reactors
DE602005025263D1 (de) * 2005-10-05 2011-01-20 Pva Tepla Ag Plasmaätzverfahren und Ätzkammer
US7679024B2 (en) * 2005-12-23 2010-03-16 Lam Research Corporation Highly efficient gas distribution arrangement for plasma tube of a plasma processing chamber
US20070151668A1 (en) * 2006-01-04 2007-07-05 Tokyo Electron Limited Gas supply system, substrate processing apparatus, and gas supply method
US8088248B2 (en) * 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
US7896967B2 (en) * 2006-02-06 2011-03-01 Tokyo Electron Limited Gas supply system, substrate processing apparatus and gas supply method
US20070202701A1 (en) * 2006-02-27 2007-08-30 Tokyo Electron Limited Plasma etching apparatus and method
US8187415B2 (en) * 2006-04-21 2012-05-29 Applied Materials, Inc. Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone
US7431859B2 (en) * 2006-04-28 2008-10-07 Applied Materials, Inc. Plasma etch process using polymerizing etch gases with different etch and polymer-deposition rates in different radial gas injection zones with time modulation
US8231799B2 (en) * 2006-04-28 2012-07-31 Applied Materials, Inc. Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone
US7540971B2 (en) * 2006-04-28 2009-06-02 Applied Materials, Inc. Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content
US7680737B2 (en) * 2006-07-06 2010-03-16 Moneygram International, Inc. Systems and methods for processing payments with payment review features
US20080078746A1 (en) * 2006-08-15 2008-04-03 Noriiki Masuda Substrate processing system, gas supply unit, method of substrate processing, computer program, and storage medium
US8012366B2 (en) * 2006-10-30 2011-09-06 Applied Materials, Inc. Process for etching a transparent workpiece including backside endpoint detection steps
US8017029B2 (en) * 2006-10-30 2011-09-13 Applied Materials, Inc. Plasma mask etch method of controlling a reactor tunable element in accordance with the output of an array of optical sensors viewing the mask backside
US7967930B2 (en) * 2006-10-30 2011-06-28 Applied Materials, Inc. Plasma reactor for processing a workpiece and having a tunable cathode
US20080099450A1 (en) * 2006-10-30 2008-05-01 Applied Materials, Inc. Mask etch plasma reactor with backside optical sensors and multiple frequency control of etch distribution
US9218944B2 (en) 2006-10-30 2015-12-22 Applied Materials, Inc. Mask etch plasma reactor having an array of optical sensors viewing the workpiece backside and a tunable element controlled in response to the optical sensors
US8002946B2 (en) * 2006-10-30 2011-08-23 Applied Materials, Inc. Mask etch plasma reactor with cathode providing a uniform distribution of etch rate
US7976671B2 (en) * 2006-10-30 2011-07-12 Applied Materials, Inc. Mask etch plasma reactor with variable process gas distribution
US20080099437A1 (en) * 2006-10-30 2008-05-01 Richard Lewington Plasma reactor for processing a transparent workpiece with backside process endpoint detection
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
CN101197271A (zh) * 2006-12-06 2008-06-11 北京北方微电子基地设备工艺研究中心有限责任公司 气体注射装置
US8043432B2 (en) * 2007-02-12 2011-10-25 Tokyo Electron Limited Atomic layer deposition systems and methods
WO2008120459A1 (ja) * 2007-03-22 2008-10-09 Panasonic Corporation プラズマ処理装置及びプラズマ処理方法
US7691755B2 (en) * 2007-05-15 2010-04-06 Applied Materials, Inc. Plasma immersion ion implantation with highly uniform chamber seasoning process for a toroidal source reactor
JP5051757B2 (ja) 2007-06-15 2012-10-17 シャープ株式会社 気相成長装置および気相成長方法
US7466506B1 (en) 2007-06-19 2008-12-16 Hitachi Global Storage Technologies Netherlands B.V. Magnetic recording disk drive with head positioning servo control system for disk surfaces with identical servo patterns
WO2009049020A2 (en) * 2007-10-11 2009-04-16 Valence Process Equipment, Inc. Chemical vapor deposition reactor
JP5192214B2 (ja) * 2007-11-02 2013-05-08 東京エレクトロン株式会社 ガス供給装置、基板処理装置および基板処理方法
US8329593B2 (en) 2007-12-12 2012-12-11 Applied Materials, Inc. Method and apparatus for removing polymer from the wafer backside and edge
US8512509B2 (en) * 2007-12-19 2013-08-20 Applied Materials, Inc. Plasma reactor gas distribution plate with radially distributed path splitting manifold
US20090178714A1 (en) * 2008-01-14 2009-07-16 Tokyo Electron Limited Flow control system and method for multizone gas distribution
JP2009194125A (ja) * 2008-02-14 2009-08-27 Seiko Epson Corp 半導体装置の製造装置
US20090221149A1 (en) * 2008-02-28 2009-09-03 Hammond Iv Edward P Multiple port gas injection system utilized in a semiconductor processing system
US20090275206A1 (en) * 2008-05-05 2009-11-05 Applied Materials, Inc. Plasma process employing multiple zone gas distribution for improved uniformity of critical dimension bias
JP2009302324A (ja) * 2008-06-13 2009-12-24 Tokyo Electron Ltd ガスリング、半導体基板処理装置および半導体基板処理方法
KR101239772B1 (ko) * 2009-02-06 2013-03-06 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
WO2011021539A1 (ja) * 2009-08-20 2011-02-24 東京エレクトロン株式会社 プラズマ処理装置とプラズマ処理方法
MY179709A (en) 2009-09-10 2020-11-11 Lam Res Corp Replaceable upper chamber parts of plasma processing apparatus
US9540731B2 (en) 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
EP2545197B1 (en) * 2010-03-12 2020-12-16 Applied Materials, Inc. Atomic layer deposition chamber with multi inject
JP2012004196A (ja) * 2010-06-15 2012-01-05 Tokyo Electron Ltd プラズマ処理装置及びその処理ガス供給構造
WO2012002232A1 (ja) * 2010-06-28 2012-01-05 東京エレクトロン株式会社 プラズマ処理装置及び方法
US9245717B2 (en) * 2011-05-31 2016-01-26 Lam Research Corporation Gas distribution system for ceramic showerhead of plasma etch reactor
TW201331408A (zh) * 2011-10-07 2013-08-01 Tokyo Electron Ltd 電漿處理裝置
JP2014036148A (ja) * 2012-08-09 2014-02-24 Tokyo Electron Ltd 多層膜をエッチングする方法、及びプラズマ処理装置
JP6140412B2 (ja) * 2012-09-21 2017-05-31 東京エレクトロン株式会社 ガス供給方法及びプラズマ処理装置
US10008368B2 (en) * 2013-03-12 2018-06-26 Applied Materials, Inc. Multi-zone gas injection assembly with azimuthal and radial distribution control
WO2014149200A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Plasma reactor with highly symmetrical four-fold gas injection
JP2015130325A (ja) * 2013-12-03 2015-07-16 東京エレクトロン株式会社 誘電体窓、アンテナ、及びプラズマ処理装置
JP6320248B2 (ja) * 2014-03-04 2018-05-09 東京エレクトロン株式会社 プラズマエッチング方法
JP2016036018A (ja) * 2014-07-31 2016-03-17 東京エレクトロン株式会社 プラズマ処理装置及びガス供給部材
SG11201703129YA (en) * 2014-10-17 2017-05-30 Lam Res Corp Gas supply delivery arrangement including a gas splitter for tunable gas flow control
JP6297509B2 (ja) * 2015-01-26 2018-03-20 東京エレクトロン株式会社 基板処理装置
JP2017045849A (ja) * 2015-08-26 2017-03-02 東京エレクトロン株式会社 シーズニング方法およびエッチング方法
JP6504017B2 (ja) * 2015-10-21 2019-04-24 東京エレクトロン株式会社 基板処理装置
JP6590735B2 (ja) * 2016-03-04 2019-10-16 東京エレクトロン株式会社 混合ガス複数系統供給システム及びこれを用いた基板処理装置
US20180122655A1 (en) * 2016-10-28 2018-05-03 Applied Materials, Inc. Endpoint gas line filter for substrate processing equipment

Similar Documents

Publication Publication Date Title
JP2016519844A5 (ja)
USD790041S1 (en) Gas dispersing plate for semiconductor manufacturing apparatus
USD859604S1 (en) Locking ring for pipes
WO2019023429A3 (en) MONOLITHIC CERAMIC GAS DISTRIBUTION PLATE
USD793526S1 (en) Showerhead for a semiconductor processing chamber
USD778394S1 (en) Projectile aperture wicking pattern
USD815385S1 (en) Wafer support ring
USD769200S1 (en) Elastic membrane for semiconductor wafer polishing apparatus
USD794602S1 (en) Side portion of earphone
USD788064S1 (en) Combined radio and power pole with bench seating
USD786222S1 (en) Antenna design
USD829050S1 (en) Gas stove
SG10201810178TA (en) Showerhead design
USD783922S1 (en) Wafer support ring
USD776177S1 (en) Air cooled plasma torch electrode
USD897874S1 (en) Mass spectrometer
JP2016526279A5 (ja)
USD779315S1 (en) Outer pipe clamp ring
USD917283S1 (en) Shell
USD917282S1 (en) Shell
WO2018005889A3 (en) Methods and thin walled reinforced structures for additive manufacturing
USD712997S1 (en) Monolithic firearm suppressor
MX2018004454A (es) Jaula de valvula lineal de alta capacidad.
JP2017523313A5 (ja)
USD917281S1 (en) Shell