KR102529764B1 - 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템 - Google Patents

튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템 Download PDF

Info

Publication number
KR102529764B1
KR102529764B1 KR1020197038881A KR20197038881A KR102529764B1 KR 102529764 B1 KR102529764 B1 KR 102529764B1 KR 1020197038881 A KR1020197038881 A KR 1020197038881A KR 20197038881 A KR20197038881 A KR 20197038881A KR 102529764 B1 KR102529764 B1 KR 102529764B1
Authority
KR
South Korea
Prior art keywords
coupling ring
edge coupling
edge
substrate
ring
Prior art date
Application number
KR1020197038881A
Other languages
English (en)
Other versions
KR20200004439A (ko
Inventor
존 맥체스니
위호우 왕
데이먼 타이론 제네티
알렉산더 패터슨
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/609,570 external-priority patent/US20170263478A1/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020237014975A priority Critical patent/KR102658105B1/ko
Publication of KR20200004439A publication Critical patent/KR20200004439A/ko
Application granted granted Critical
Publication of KR102529764B1 publication Critical patent/KR102529764B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Flanged Joints, Insulating Joints, And Other Joints (AREA)
  • Dental Tools And Instruments Or Auxiliary Dental Instruments (AREA)
  • Measuring Pulse, Heart Rate, Blood Pressure Or Blood Flow (AREA)

Abstract

기판 프로세싱 시스템이 프로세싱 챔버를 포함한다. 페데스탈이 프로세싱 챔버 내에 배치된다. 에지 커플링 링이 페데스탈에 인접하여 그리고 기판의 방사상으로 외측 에지 주변에 배치된다. 액추에이터가 에지 커플링 링의 에지 커플링 프로파일을 변경하기 위해 기판에 대해 에지 커플링 링을 선택적으로 이동시키도록 구성된다. 기판 프로세싱 시스템은 에지 커플링 링의 위치를 조정하도록 액추에이터에 지시하는 카메라-기반 검출 시스템을 포함한다. 카메라는 제어기와 통신하도록 구성되고, 제어기는 카메라의 위치 및/또는 포커스를 조정한다. 카메라로부터 에지 커플링 링 상태 정보에 반응하여, 제어기는 에지 커플링 링을 수직으로 이동시키도록 액추에이터를 동작시킨다. 카메라로부터 에지 커플링 링 위치 정보에 반응하여, 제어기는 에지 커플링 링을 수평으로 이동시키도록 액추에이터를 동작시킨다.

Description

튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템
관련 출원들에 대한 교차 참조
본 출원은 2015년 5월 6일 출원된 미국 특허 출원 번호 제 14/705,430 호의 일부 계속 출원인, 2017년 5월 31일 출원된 미국 특허 출원 번호 제 15/609,570 호의 우선권을 주장한다. 본 출원은 결국 2015년 1월 16일 출원된 미국 특허 출원 번호 제 14/598,943 호의 일부 계속 출원이다. 본 출원은 이들 선행 출원들의 전체를 참조로서 인용한다.
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 기판 프로세싱 시스템들의 에지 커플링 링들, 또한 보다 구체적으로 기판 프로세싱 시스템들의 에지 커플링 링들에 대한 검출 시스템들에 관한 것이다. 또한 보다 구체적으로, 본 개시는 기판 프로세싱 시스템들의 에지 커플링 링들 위치 및/또는 상태를 검출하기 위한 검출 시스템들에 관한 것이다.
본 명세서에 제공된 배경기술 기술 (description) 은 본 개시의 맥락을 일반적으로 제시할 목적이다. 이 배경기술 섹션에 기술된 정도의 본 명세서에 명명된 발명자들의 업적, 뿐만 아니라 출원시 종래 기술로서 달리 인증되지 않을 수도 있는 본 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 반도체 웨이퍼들과 같은 기판들의 에칭 및/또는 다른 처리를 수행하기 위해 사용될 수도 있다. 기판이 기판 프로세싱 시스템의 프로세싱 챔버의 페데스탈 상에 배치될 수도 있다. 예를 들어, 플라즈마 에칭기에서 에칭 동안, 하나 이상의 전구체들을 포함하는 가스 혼합물이 프로세싱 챔버 내로 도입되고 플라즈마가 기판을 에칭하기 위해 스트라이킹된다.
에지 커플링 링들은 기판의 방사상으로 외측 에지 근방에서 플라즈마의 에칭 레이트 및/또는 에칭 프로파일을 조정하기 위해 사용되었다. 에지 커플링 링은 통상적으로 기판의 방사상으로 외측 에지 주변의 페데스탈 상에 위치된다. 기판의 방사상으로 외측 에지에서 프로세스 상태들은 에지 커플링 링의 위치, 에지 커플링 링의 내측 에지의 형상 또는 프로파일, 기판의 상부 표면에 상대적인 에지 커플링 링의 높이, 에지 커플링 링의 재료, 등을 변화시킴으로써 수정될 수 있다.
에지 커플링 링을 변화시키는 것은 프로세싱 챔버가 개방될 것을 필요로 하고, 이는 바람직하지 않다. 달리 말하면, 에지 커플링 링의 에지 커플링 효과는 프로세싱 챔버를 개방하지 않고 변경될 수 없다. 에지 커플링 링이 에칭 동안 플라즈마에 의해 부식될 때, 에지 커플링 효과는 변화한다. 에지 커플링 링의 부식을 보정하는 것은 에지 커플링 링을 교체하기 위해 프로세싱 챔버가 개방될 것을 필요로 한다.
이제 도 1 및 도 2를 참조하면, 기판 프로세싱 시스템은 페데스탈 (20) 및 에지 커플링 링 (30) 을 포함할 수도 있다. 에지 커플링 링 (30) 은 단일 부품 (single piece) 또는 2 이상의 부분들을 포함할 수도 있다. 도 1 및 도 2의 예에서, 에지 커플링 링 (30) 은 기판 (33) 의 방사상으로 외측 에지 근방에 배치된 제 1 환형 부분 (32) 을 포함한다. 제 2 환형 부분 (34) 이 기판 (33) 아래 제 1 환형 부분으로부터 방사상으로 내측으로 위치된다. 제 3 환형 부분 (36) 이 제 1 환형 부분 (32) 아래에 배치된다. 사용 동안, 플라즈마 (42) 가 기판 (33) 의 노출된 부분들을 에칭하기 위해 기판 (33) 으로 지향된다. 에지 커플링 링 (30) 은 기판 (33) 의 균일한 에칭이 발생하도록 플라즈마 성형을 보조하도록 구성된다.
도 2에서, 에지 커플링 링 (30) 이 사용된 후, 에지 커플링 링 (30) 의 방사상으로 내측 부분의 상부 표면은 48에서 식별된 바와 같이 부식을 나타낼 수도 있다. 그 결과, 플라즈마 (42) 는 44에서 알 수 있는 바와 같이, 기판 (33) 의 방사상으로 내측 부분들보다 방사상으로 외측 에지에서 보다 빠른 레이트로 에칭하는 경향이 있다.
에지 커플링 링의 하나 이상의 부분들이 기판 프로세싱 시스템에서 기판 또는 페데스탈에 대해 수직으로 그리고/또는 수평으로 이동될 수도 있다. 이 이동은 프로세싱 챔버로 하여금 개방될 것을 필요로 하지 않고 에칭 또는 다른 기판 처리 동안 기판에 대해 플라즈마의 에지 커플링 효과를 변화시킨다.
이제 도 3 내지 도 5를 참조하면, 기판 프로세싱 시스템이 페데스탈 (20) 및 에지 커플링 링 (60) 을 포함한다. 에지 커플링 링 (60) 은 단일 부분으로 이루어질 수도 있거나 2 이상의 부분들이 사용될 수도 있다. 도 3 내지 도 5의 예에서, 에지 커플링 링 (60) 은 기판 (33) 의 방사상 외부에 배치된 제 1 환형 부분 (72) 을 포함한다. 제 2 환형 부분 (74) 이 기판 (33) 아래 제 1 환형 부분 (72) 으로부터 방사상으로 내측으로 위치된다. 제 3 환형 부분 (76) 이 제 1 환형 부분 (72) 아래에 배치된다.
이하에 더 기술될 바와 같이 액추에이터 (80) 가 기판 (33) 에 대해 에지 커플링 링 (60) 의 하나 이상의 부분들을 이동시키기 위해 다양한 위치들에 배치될 수도 있다. 단지 예를 들면, 도 3에서 액추에이터 (80) 는 에지 커플링 링 (60) 의 제 1 환형 부분 (72) 과 에지 커플링 링 (60) 의 제 3 환형 부분 (76) 사이에 배치된다. 일부 예들에서, 액추에이터 (80) 는 압전 액추에이터, 스텝퍼 모터, 공압 구동, 또는 다른 적합한 액추에이터를 포함할 수도 있다. 일부 예들에서, 1, 2, 3, 또는 4 개 이상의 액추에이터들이 사용된다. 일부 예들에서, 복수의 액추에이터들은 에지 커플링 링 (60) 주변에 균일하게 배치된다. 액추에이터(들) (80) 는 프로세싱 챔버의 내부 또는 외부에 배치될 수도 있다.
사용 동안, 플라즈마 (82) 는 기판 (33) 의 노출된 부분들을 에칭하기 위해 기판 (33) 으로 지향된다. 에지 커플링 링 (60) 은 기판 (33) 의 균일한 에칭이 발생하도록 플라즈마 전계를 성형하는 것으로 돕도록 구성된다. 도 4의 84 및 86에서 알 수 있는 바와 같이, 에지 커플링 링 (60) 의 하나 이상의 부분들은 플라즈마 (82) 에 의해 부식될 수도 있다. 부식 결과, 기판 (33) 의 불균일한 에칭은 기판 (33) 의 방사상으로 외측 에지 근방에서 발생할 수도 있다. 보통, 프로세스는 중단되어야 하고, 프로세싱 챔버는 개방되고 에지 커플링 링이 교체된다.
도 5에서, 액추에이터 (80) 는 에지 커플링 링 (60) 의 하나 이상의 부분들의 위치를 변경하도록 에지 커플링 링 (60) 의 하나 이상의 부분들을 이동시키도록 사용된다. 예를 들어, 액추에이터 (80) 는 에지 커플링 링 (60) 의 제 1 환형 부분 (72) 을 이동시키도록 사용될 수도 있다. 이 예에서, 액추에이터 (80) 는 에지 커플링 링 (60) 의 제 1 환형 부분 (72) 의 에지 (86) 가 기판 (33) 의 방사상으로 외측 에지에 상대적으로 보다 높도록 상향 또는 수직 방향으로 에지 커플링 링 (60) 의 제 1 환형 부분 (72) 을 이동시킨다. 그 결과, 기판 (33) 의 방사상으로 외측 에지 근방에서 에칭 균일도가 개선된다.
이제 도 6을 참조하면, 인식될 바와 같이, 액추에이터는 하나 이상의 다른 위치들에 배치될 수도 있고 수평, 사선, 등과 같이 다른 방향들로 이동할 수도 있다. 에지 커플링 링의 이 부분의 수평 이동은 기판에 대한 에지 커플링 효과를 중심을 맞추도록 (center) 수행될 수도 있다. 도 6에서, 액추에이터 (110) 가 에지 커플링 링 (60) 의 방사상으로 외부에 배치된다. 이에 더하여, 액추에이터 (110) 는 수직 (또는 상/하) 방향뿐만 아니라 수평 (또는 옆 (side to side)) 방향으로 이동한다. 기판들의 에칭이 기판들에 대한 에지 커플링 링의 수평 오프셋을 보일 때 수평으로 재포지셔닝 (repositioning) 이 사용될 수도 있다. 수평 오프셋은 프로세싱 챔버를 개방하지 않고 보정될 수도 있다. 유사하게, 에지 커플링 링의 틸팅 (tilting) 은 옆 방향으로의 비대칭을 보정하거나 생성하도록 액추에이터들 중 일부를 다른 액추에이터들과 상이하게 액추에이팅함으로써 수행될 수도 있다.
액추에이터 (110) 를 에지 커플링 링의 환형 부분들 사이에 위치시키는 대신, 액추에이터 (110) 는 또한 (114) 로 식별된 방사상으로 외측 벽 또는 다른 구조체에 부착될 수도 있다. 대안적으로, 액추에이터 (110) 는 (116) 로 식별된 벽 또는 다른 구조체에 의해 아래로부터 지지될 수도 있다.
이제 도 7 및 도 8을 참조하면, 에지 커플링 링 (150) 및 압전 액추에이터 (154) 의 또 다른 예가 도시된다. 이 예에서, 압전 액추에이터 (154) 는 에지 커플링 링 (150) 을 이동시킨다. 압전 액추에이터 (154) 는 에지 커플링 링 (150) 의 제 1 환형 부분 (72) 및 제 3 환형 부분 (76) 에 장착된다. 도 8에서, 압전 액추에이터 (154) 는 제 1 환형 부분 (72) 의 에지 (156) 의 위치를 조정하기 위해 에지 커플링 링 (150) 의 제 1 환형 부분 (72) 을 이동시킨다.
프로세싱 챔버를 폐쇄된 채로 유지하는 것은 에지 커플링 링의 상태를 관찰하는데 그리고 부식을 보상하기 위해 링의 위치를 조정할 때 및 링을 교체할 때를 결정하는데 어려움들을 제공할 수 있다.
이에 더하여, 에지 커플링 링을 교체할 때, 에지 커플링 링을 적절히 포지셔닝 그리고/또는 정렬하는데 어려움들이 있을 수 있다.
기판 프로세싱 시스템이 프로세싱 챔버를 포함한다. 프로세싱 챔버는 커버된 개구부를 갖고, 이를 통해 프로세싱 챔버의 페데스탈에 인접하고 기판의 방사상으로 외측 에지 주변에 배치되는 에지 커플링 링의 상태 및/또는 위치를 포함하는, 챔버의 상태들이 관찰될 수 있고 그리고/또는 측정될 수 있다. 에지 커플링 링의 상태 및/또는 위치를 검출하는 검출 시스템이 제공된다.
일 특징에서, 검출 시스템은 프로세싱 챔버를 개방하지 않고 에지 커플링 링의 상태의 관찰을 허용하기 적합한 광학 (optics) 을 갖는 카메라를 포함한다.
일 특징에서, 장치는 프로세싱 챔버를 개방하지 않고 에지 커플링 링의 프로파일을 측정하기 위한 레이저 인페로미터 (laser inferometer) 를 포함한다.
관찰된 상태 및/또는 측정값에 따라, 예를 들어, 에지 커플링 링의 플라즈마-대면 표면의 부식에 반응하여, 액추에이터가 프로세싱 챔버가 개방될 것을 필요로 하지 않고, 에지 커플링 링의 에지 커플링 프로파일을 변경하기 위해 기판에 대해 에지 커플링 링의 제 1 부분을 선택적으로 이동시키도록 구성된다.
다른 특징들에서, 액추에이터는 에지 커플링 링의 제 2 부분에 대해 에지 커플링 링의 제 1 부분을 이동시키도록 구성된다.
다른 특징들에서, 제어기가 에지 커플링 링의 플라즈마-대면 표면의 부식에 반응하여 에지 커플링 링을 이동시키도록 구성된다. 제어기는 에지 커플링 링이 미리 결정된 수의 에칭 사이크들에 노출된 후 에지 커플링 링을 자동으로 이동시킨다. 제어기는 에지 커플링 링이 미리 결정된 기간의 에칭에 노출된 후 에지 커플링 링을 자동으로 이동시킨다.
다른 특징들에서, 액추에이터는 기판에 대해 수직으로 에지 커플링 링의 제 1 부분을 이동시킨다. 액추에이터는 기판에 대해 에지 커플링 링의 제 1 부분을 수평으로 이동시킨다. 센서 또는 검출기가 제어기와 통신하도록 그리고 에지 커플링 링의 부식을 검출하도록 구성된다.
다른 특징들에서, 검출기는 프로세싱 챔버 외부에 장착되고, 챔버의 측면 뷰포트 (side view port) 를 통해 에지 커플링 링에 조준된 (sighted on) 카메라이다.
다른 특징들에서, 카메라는 플라즈마 광을 사용하여, 또는 외부 광을 사용하여 에지 커플링 링의 상태 및/또는 위치의 이미지 또는 다른 정보를 제공할 수도 있다. 다른 특징들에서, 외부 광은, 이를 통해 카메라가 조준되는 동일한 측면 뷰 포트를 통해 제공될 수도 있거나 상이한 측면 뷰포트를 통해 제공될 수도 있다.
다른 특징들에서, 검출 시스템은 카메라의 위치 및/또는 포커스를 조정하는 제어기를 포함한다. 다른 특징들에서, 액추에이터를 이동시키는 제어기는 또한 카메라의 위치 및/또는 포커스를 조정한다. 카메라는 제어기와 통신하도록 구성되고, 제어기는 카메라의 위치 및/또는 포커스를 조정한다. 카메라로부터의 에지 커플링 링 상태 정보에 반응하여, 제어기는 기판에 대해 에지 커플링 링의 위치를 조정하도록 액추에이터를 동작시킨다. 카메라로부터의 에지 커플링 링 상태 정보에 반응하여, 제어기는 에지 커플링 링을 수직으로 이동시키도록 액추에이터를 동작시킨다. 카메라로부터의 에지 커플링 링 위치 정보에 반응하여, 제어기는 에지 커플링 링을 수평으로 이동시키도록 액추에이터를 동작시킨다. 카메라로부터의 에지 커플링 링 배향 정보에 반응하여, 제어기는 에지 커플링 링의 일 측면을 또 다른 측면에 대해 이동시키도록 액추에이터를 동작시킨다.
다른 특징들에서, 로봇은 제어기와 통신하고 센서의 위치를 조정하도록 구성된다. 센서는 깊이 게이지 (gauge) 를 포함한다. 센서는 레이저 간섭계 (laser interferometer) 를 포함한다. 액추에이터는 기판에 대해 에지 커플링 링을 선택적으로 틸팅한다. 액추에이터는 프로세싱 챔버의 외부에 위치된다. 로드 (rod) 부재는 액추에이터를 프로세싱 챔버의 벽을 통해 에지 커플링 링에 연결한다.
다른 특징들에서, 시일부 (seal) 가 로드 부재와 프로세싱 챔버의 벽 사이에 배치된다. 제어기가 제 1 에지 커플링 효과를 사용한 기판의 제 1 처리를 위해 제 1 위치로 에지 커플링 링을 이동시키고 이어서 제 2 에지 커플링 효과를 사용한 기판의 제 2 처리를 위해 제 2 위치로 이동시키도록 구성된다.
기판 프로세싱 시스템에서 에지 커플링 링의 에지 커플링 프로파일을 조정하는 방법은 프로세싱 챔버에서 페데스탈에 인접하게 에지 커플링 링을 배치하는 단계를 포함한다. 에지 커플링 링은 기판의 방사상으로 외측 에지 주변에 배치된다. 방법은 에지 커플링 링의 에지 커플링 프로파일을 변경하도록 액추에이터를 사용하여, 기판에 대해 에지 커플링 링의 제 1 부분을 선택적으로 이동시키는 단계를 포함한다.
다른 특징들에서, 방법은 프로세스 가스 및 캐리어 가스를 프로세싱 챔버로 전달하는 단계를 포함한다. 방법은 기판을 에칭하기 위해 프로세싱 챔버 내에서 플라즈마를 생성하는 단계를 포함한다. 방법은 프로세싱 챔버가 개방될 것을 필요로 하지 않는 액추에이터를 사용하여 에지 커플링 링의 제 1 부분을 이동시키는 단계를 포함한다. 에지 커플링 링은 제 2 부분을 더 포함한다. 액추에이터는 에지 커플링 링의 제 2 부분에 대해 에지 커플링 링의 제 1 부분을 이동시키도록 구성된다. 액추에이터는 압전 액추에이터, 스텝퍼 모터 액추에이터, 및 공압 구동 액추에이터로 구성된 그룹으로부터 선택된다.
다른 특징들에서, 방법은 에지 커플링 링의 플라즈마-대면 표면의 부식에 반응하여 에지 커플링 링을 이동시키는 단계를 포함한다. 방법은 에지 커플링 링이 미리 결정된 수의 에칭 사이클들에 노출된 후 에지 커플링 링을 자동으로 이동시키는 단계를 포함한다. 방법은 에지 커플링 링이 미리 결정된 기간의 에칭에 노출된 후에 에지 커플링 링을 자동으로 이동시키는 단계를 포함한다. 방법은 에지 커플링 링의 제 1 부분을 기판에 대해 수직으로 이동시키는 단계를 포함한다. 방법은 에지 커플링 링의 제 1 부분을 기판에 대해 수평으로 이동시키는 단계를 포함한다.
다른 특징들에서, 방법은 에지 커플링 링의 제 1 부분을 기판에 대해 수직으로 이동시키는 단계를 포함한다. 방법은 에지 커플링 링의 제 1 부분을 기판에 대해 수평으로 이동시키는 단계를 포함한다. 센서 또는 검출기가 제어기와 통신하고 에지 커플링 링의 부식을 검출하도록 구성된다.
다른 특징들에서, 방법은 에지 커플링 링의 부식을 센싱하기 위해 카메라를 사용하는 단계를 포함한다. 방법은 카메라로부터의 이미지들을 사용하여 에지 커플링 링의 위치를 조정하는 단계를 포함한다. 방법은 카메라가 제공하는 위치 정보에 반응하여 기판에 대해 에지 커플링 링의 위치를 조정하기 위해 액추에이터를 동작시키는 단계를 포함한다. 방법은 에지 커플링 링의 상태와 관련하여 카메라가 제공하는 정보에 반응하여 수직으로 에지 커플링 링을 이동시키도록 액추에이터를 동작시키는 단계를 포함한다. 방법은 에지 커플링 링의 위치와 관련하여 카메라가 제공하는 정보에 반응하여 수평으로 에지 커플링 링을 이동시키도록 액추에이터를 동작시키는 단계를 포함한다. 방법은 에지 커플링 링의 위치와 관련하여 카메라가 제공하는 정보에 반응하여 에지 커플링 링의 일 측면을 또 다른 측면에 대해 이동시키도록 액추에이터를 동작시키는 단계를 포함한다.
다른 특징들에서, 방법은 에지 커플링 링의 부식을 센싱하기 위해 센서를 사용하는 단계를 포함한다. 센서는 깊이 게이지 및 레이저 간섭계로 구성된 그룹으로부터 선택된다. 방법은 기판에 대해 에지 커플링 링을 선택적으로 틸팅하는 단계를 포함한다. 액추에이터는 프로세싱 챔버의 외부에 위치된다.
다른 특징들에서, 방법은 제 1 에지 커플링 효과를 사용한 기판의 제 1 처리를 위해 제 1 위치로 에지 커플링 링을 이동시키는 단계 및 이어서 제 2 에지 커플링 효과를 사용한 기판의 제 2 처리를 위해 제 2 위치로 에지 커플링 링을 이동시키도록 구성된다.
본 개시의 다른 적용 분야는 상세한 기술, 청구항들 및 도면들로부터 자명해질 것이다. 상세한 기술 및 구체적인 예들은 단지 예시의 목적들로 의도되고 본 개시의 범위를 제한하는 것으로 의도되지 않는다.
본 개시는 상세한 기술 및 첨부된 도면들로부터 보다 완전히 이해될 것이다.
도 1은 종래 기술에 따른 페데스탈 및 에지 커플링 링의 측단면도이다.
도 2는 에지 커플링 링의 부식이 발생한 후 종래 기술에 따른 페데스탈 및 에지 커플링 링의 측단면도이다.
도 3은 페데스탈, 에지 커플링 링 및 액추에이터의 일 예의 측단면도이다.
도 4는 에지 커플링 링의 부식이 발생한 후 도 3의 페데스탈, 에지 커플링 링 및 액추에이터의 측단면도이다.
도 5는 에지 커플링 링의 부식이 발생하고 액추에이터가 이동된 후 도 3의 페데스탈, 에지 커플링 링 및 액추에이터의 측단면도이다.
도 6은 본 개시에 따른, 또 다른 위치에 위치된 페데스탈, 에지 커플링 링 및 액추에이터의 또 다른 예의 측단면도이다.
도 7은 본 개시에 따른, 페데스탈, 에지 커플링 링 및 압전 액추에이터의 또 다른 예의 측단면도이다.
도 8은 부식이 발생하고 압전 액추에이터가 이동된 후 도 7의 페데스탈, 에지 커플링 링 및 압전 액추에이터의 측단면도이다.
도 9는 본 개시에 따른, 페데스탈, 에지 커플링 링 및 액추에이터를 포함하는 기판 프로세싱 챔버의 일 예의 기능적 블록도이다.
도 10은 본 개시에 따른, 에지 커플링 링을 이동시키도록 액추에이터를 동작시키기 위한 방법의 일 예의 단계들을 예시하는 플로우차트이다.
도 11은 본 개시에 따른, 에지 커플링 링을 이동시키도록 액추에이터를 동작시키기 위한 방법의 또 다른 예의 단계들을 예시하는 플로우차트이다.
도 12는 본 개시에 따른, 프로세싱 챔버의 외부에 배치된 액추에이터들에 의해 이동식 에지 커플링 링을 포함하는 프로세싱 챔버의 일 예의 기능적 블록도이다.
도 13a 및 도 13b는 본 개시에 따른, 에지 커플링 링의 옆 방향으로 틸팅하는 일 예를 예시한다.
도 14는 기판의 프로세싱 동안 에지 커플링 링을 이동시키기 위한 방법의 일 예를 예시한다.
도 15는 에지 커플링 링 및 리프팅 링을 포함하는 페데스탈의 일 예의 평면도이다.
도 16은 에지 커플링 링 및 리프팅 링의 일 예의 측단면도이다.
도 17은 리프팅 링에 의해 리프팅되는 에지 커플링 링 및 로봇 암에 의해 제거되는 에지 커플링 링의 일 예의 측단면도이다.
도 18은 이동식 에지 커플링 링 및 리프팅 링의 일 예의 측단면도이다.
도 19는 상승된 위치의 도 18의 이동식 에지 커플링 링의 측단면도이다
도 20은 리프팅 링에 의해 리프팅되는 도 18의 에지 커플링 링 및 로봇 암에 의해 제거되는 에지 커플링 링의 측단면도이다.
도 21은 이동식 에지 커플링 링의 일 예의 측단면도이다.
도 22는 액추에이터에 의해 리프팅되고 로봇 암에 의해 제거되는 도 21의 에지 커플링 링의 측단면도이다.
도 23은 프로세싱 챔버를 개방하지 않고 에지 커플링 링을 교체하기 위한 방법의 일 예이다.
도 24는 부식으로 인해 에지 커플링 링을 이동시키고 프로세싱 챔버를 개방하지 않고 에지 커플링 링을 교체하기 위한 방법의 일 예이다.
도 25는 부식으로 인해 에지 커플링 링을 상승시키고 프로세싱 챔버를 개방하지 않고 에지 커플링 링을 교체하기 위한 방법의 일 예이다.
도 26는 챔버 외부에 장착된 일 예의 검출기를 갖는 프로세싱 챔버의 측단면도이다.
도 27는 챔버 외부에 장착된 일 예의 검출기 및 조명 디바이스를 갖는 프로세싱 챔버의 측단면도이다.
도 28은 에칭되거나 부식된 상태의 에지 커플링 링을 갖는 프로세싱 챔버의 측단면도이다.
도 29a는 라이너의 확대된 측면도를 도시하고, 그리고 도 29c 및 도 29c는 라이너에 대한 우수한 에지 커플링 링의 배치 및 불량한 에지 커플링 링의 배치의 예들을 도시한다.
도 30a 내지 도 30c는 에지 커플링 링의 상이한 위치들 및 상태들을 갖는 이미지들의 예들을 도시한다.
도 31은 검출기를 사용하여 에지 커플링 링의 대안적인 모드의 이미지를 도시하는 측단면도이다.
도 32는 정전 척 상에서 에지 커플링 링의 정렬을 결정하기 위해 에지 커플링 링을 검사하는 방법의 일 예이다.
도 33은 에지 커플링 링의 상태를 결정하기 위해 에지 커플링 링을 검사하는 방법의 일 예이다.
도면들에서, 참조 번호들은 유사한 그리고/또는 동일한 엘리먼트들을 식별하도록 재사용될 수도 있다.
이제 도 9를 참조하면, RF 플라즈마를 사용하여 에칭을 수행하기 위한 기판 프로세싱 챔버 (500) 의 일 예가 도시된다. 기판 프로세싱 챔버 (500) 는 기판 프로세싱 챔버 (500) 의 다른 컴포넌트들을 둘러싸고 RF 플라즈마를 담는 프로세싱 챔버 (502) 를 포함한다. 기판 프로세싱 챔버 (500) 는 상부 전극 (504) 및 하부 전극 (507) 을 포함하는 페데스탈 (506) 을 포함한다. 에지 커플링 링 (503) 이 페데스탈 (506) 에 의해 지지되고 기판 (508) 주변에 배치된다. 하나 이상의 액추에이터들 (505) 은 에지 커플링 링 (503) 을 이동시키도록 사용될 수도 있다. 동작 동안, 기판 (508) 이 상부 전극 (504) 과 하부 전극 (507) 사이의 페데스탈 (506) 상에 배치된다.
단지 예를 들면, 상부 전극 (504) 은 프로세스 가스들을 도입하고 분배하는 샤워헤드 (509) 를 포함할 수도 있다. 샤워헤드 (509) 는 프로세싱 챔버의 상단 표면에 연결된 일 단부를 포함하는 스템 부분을 포함할 수도 있다. 베이스 부분은 일반적으로 원통형이고 프로세싱 챔버의 상단 표면으로부터 이격되는 위치에서 스텝 부분의 반대편 단부로부터 방사상 외측으로 연장한다. 샤워헤드의 베이스 부분의 기판-대면 표면 또는 대면 플레이트는 프로세스 가스 또는 퍼지 가스가 흐르는 복수의 홀들을 포함한다. 대안적으로, 상부 전극 (504) 은 도전 플레이트를 포함할 수도 있고 프로세스 가스들은 또 다른 방식으로 도입될 수도 있다. 하부 전극 (507) 은 비도전성 페데스탈에 배치될 수도 있다. 대안적으로, 페데스탈 (506) 은 하부 전극 (507) 으로서 작용하는 도전 플레이트를 포함하는 정전 척을 포함할 수도 있다.
RF 생성 시스템 (510) 은 RF 전압을 생성하고 상부 전극 (504) 및 하부 전극 (507) 중 하나로 출력한다. 상부 전극 (504) 및 하부 전극 (507) 중 다른 하나는 DC 접지될 수도 있고, AC 접지될 수도 있고, 또는 플로팅할 수도 있다. 단지 예를 들면, RF 생성 시스템 (510) 은 매칭 및 분배 네트워크 (512) 에 의해 상부 전극 (504) 또는 하부 전극 (507) 으로 피딩되는, RF 전압을 생성하는 RF 전압 생성기 (511) 를 포함할 수도 있다. 다른 예들에서, 플라즈마는 유도적으로 또는 리모트로 생성될 수도 있다.
가스 전달 시스템 (530) 은 하나 이상의 가스 소스들 (532-1, 532-2, …, 및 532-N) (집합적으로 가스 소스들 (532)) 을 포함하고, 여기서 N은 0보다 큰 정수이다. 가스 소스들은 하나 이상의 전구체들 및 이들의 혼합물들을 공급한다. 가스 소스들은 또한 퍼지 가스를 공급할 수도 있다. 기화된 전구체가 또한 사용될 수도 있다. 가스 소스들 (532) 은 밸브들 (534-1, 534-2, …, 및 534-N) (집합적으로 밸브들 (534)) 및 질량 유량 제어기들 (536-1, 536-2, …, 및 536-N) (집합적으로 질량 유량 제어기들 (536)) 에 의해 매니폴드 (540) 에 연결된다. 매니폴드 (540) 의 출력부는 프로세싱 챔버 (502) 로 피딩된다. 단지 예를 들면, 매니폴드 (540) 의 출력부는 샤워헤드 (509) 로 피딩된다.
히터 (542) 는 페데스탈 (506) 내에 배치된 히터 코일 (미도시) 에 연결될 수도 있다. 히터 (542) 는 페데스탈 (506) 및 기판 (508) 의 온도를 제어하도록 사용될 수도 있다. 밸브 (550) 및 펌프 (552) 가 프로세싱 챔버 (502) 로부터 반응물질들을 배기하도록 사용될 수도 있다. 제어기 (560) 가 기판 프로세싱 챔버 (500) 의 컴포넌트들을 제어하도록 사용될 수도 있다. 제어기 (560) 는 또한 에지 커플링 링 (503) 의 하나 이상의 부분들의 위치를 조정하기 위해 액추에이터 (505) 를 제어하도록 사용될 수도 있다.
로봇 (570) 및 센서 (572) 가 에지 커플링 링의 부식을 측정하도록 사용될 수도 있다. 일부 예들에서, 센서 (572) 는 깊이 게이지를 포함할 수도 있다. 로봇 (570) 은 부식을 측정하도록 에지 커플링 링과 콘택트하여 깊이 게이지를 이동시킬 수도 있다. 대안적으로, (로봇 (570) 을 갖거나 갖지 않는) 레이저 간섭계는 직접 콘택트 없이 부식을 측정하도록 사용될 수도 있다. 레이저 간섭계가 에지 커플링 링에 대해 직접 조준선에 포지셔닝될 수 있다면, 로봇 (570) 은 생략될 수도 있다.
이제 도 10을 참조하면, 에지 커플링 링을 이동시키기 위해 액추에이터를 동작시키기 위한 방법 (600) 의 일 예를 도시한다. 610에서, 에지 커플링 링의 적어도 일부는 기판에 대해 제 1 위치에 포지셔닝된다. 614에서, 기판 프로세싱 시스템이 동작된다. 동작은 기판의 에칭 또는 다른 처리를 포함할 수도 있다. 618에서, 제어는 에칭의 미리 결정된 기간 또는 미리 결정된 수의 에칭 사이클들이 발생하였는지 여부를 결정한다. 618에서 결정될 때 미리 결정된 기간 또는 수의 사이클들을 초과하지 않았다면, 제어는 614로 돌아간다.
미리 결정된 기간 또는 수의 사이클들이 만료될 때, 제어는 624에서 최대 미리 결정된 에칭 기간이 만료되었는지, 최대 수의 에칭 사이클들이 발생하였는지 그리고/또는 최대 수의 액추에이터 이동들이 발생하였는지 여부를 결정한다.
624가 거짓이면, 제어는 제어는 액추에이터를 사용하여 에지 커플링 링의 적어도 일부를 이동시킨다. 에지 커플링 링의 이동은 프로세싱 챔버를 개방하지 않고 자동으로, 또는 수동으로, 또는 이들의 조합으로 수행될 수 있다. 624가 참이면, 제어는 에지 커플링 링이 서비스/교체되어야 한다고 메시지를 전송하거나 달리 나타낸다.
이제 도 11을 참조하면, 에지 커플링 링을 이동시키기 위해 액추에이터를 동작시키기 위한 방법 (700) 의 일 예가 도시된다. 710에서, 에지 커플링 링의 적어도 일부가 기판에 대해 제 1 위치에 포지셔닝된다. 714에서, 기판 프로세싱 시스템이 동작된다. 동작은 기판의 에칭 또는 다른 처리를 포함할 수도 있다. 718에서, 제어는 에지 커플링 링의 미리 결정된 양의 부식이 발생하였는지 여부를 깊이 게이지 또는 레이저 간섭계와 같은 센서를 사용하여 결정한다. 718이 거짓이면, 제어는 714로 돌아간다.
미리 결정된 양의 부식이 발생할 때, 제어는 724에서 최대 양의 부식이 발생하였는지 여부를 결정한다. 724가 거짓일 때, 제어는 액추에이터를 사용하여 에지 커플링 링의 적어도 일부를 이동시킨다. 에지 커플링 링의 이동은 프로세싱 챔버를 개방하지 않고 자동으로, 또는 수동으로 또는 이들의 조합으로 수행될 수 있다. 724가 참이면, 제어는 에지 커플링 링이 서비스/교체되어야 한다고 메시지를 전송하거나 달리 나타낸다.
전술한 바에 더하여, 프로세싱 후에 에지 커플링 링이 이동되어야 하는지 여부의 결정이 프로세싱 후 기판들의 에칭 패턴들의 검사에 기초할 수도 있다. 액추에이터는 챔버를 개방하지 않고 에지 커플링 링의 에지 커플링 프로파일을 조정하도록 사용될 수도 있다.
이제 도 12를 참조하면, 프로세싱 챔버 (800) 가 페데스탈 (20) 상에 배치된 에지 커플링 링 (60) 을 포함한다. 에지 커플링 링 (60) 은 프로세싱 챔버 (800) 의 외부에 배치된 하나 이상의 액추에이터들 (804) 에 의해 이동가능한 하나 이상의 부분들을 포함한다. 이 예에서, 부분 (72) 이 이동가능하다. 액추에이터들 (804) 은 기계적 링크부 (810) 에 의해 에지 커플링 링 (60) 의 부분 (72) 에 연결될 수도 있다. 예를 들어, 기계적 링크부 (mechanical linkage)(810) 는 로드 부재를 포함할 수도 있다. 기계적 링크부 (810) 는 프로세싱 챔버 (800) 의 벽 (814) 의 홀 (811) 을 통과할 수도 있다. "O" 링과 같은 시일부 (812) 가 사용될 수도 있다. 기계적 링크부 (810) 는 에지 커플링 링 (60) 의 부분 (76) 과 같은 하나 이상의 구조체들의 홀들 (815) 을 통과할 수도 있다.
이제 도 13a 및 도 13b를 참조하면, 에지 커플링 링 (830) 의 옆 방향으로 틸팅이 도시되었다. 옆 방향으로 틸팅은 옆 방향으로 오정렬을 보정하도록 사용될 수도 있다. 도 13a에서, 기판의 마주보는 측면들 상의 에지 커플링 링 (830) 의 부분들 (830-1 및 830-2) 이 제 1 배열 (arrangement) 로 배치된다. 부분들 (830-1 및 830-2) 은 일반적으로 에지 커플링 링 (830) 의 부분들 (832-1 및 832-2) 과 정렬될 수도 있다. 액추에이터들 (836-1 및 836-2) 은 부분들 (830-1) 과 (832-1) 사이 그리고 (830-2) 와 (832-2) 사이에 각각 배치된다.
도 13b에서, 액추에이터들 (836-1 및 836-2) 은 에지 커플링 링 (830) 이 도 13a에 도시된 제 1 배열 (840) 과 상이한 제 2 배열 (850) 을 이동시키도록 에지 커플링 링 (830) 의 각각의 부분들을 이동시킨다. 알 수 있는 바와 같이, 기판들은 처리 후에 검사될 수도 있고 기판에 대한 틸팅이 프로세싱 챔버를 개방하지 않고 필요에 따라 조정될 수도 있다.
이제 도 14를 참조하면, 기판의 프로세싱 동안 에지 커플링 링을 이동시키기 위한 방법 (900) 이 도시된다. 달리 말하면, 상이한 처리들이 동일한 프로세싱 챔버에서 단일 기판 상에서 수행될 수도 있다. 에지 커플링 링의 에지 커플링 효과는 후속하는 기판으로 진행하기 전에 동일한 프로세싱 챔버의 기판 상에서 수행된 복수의 처리들 사이에 조정될 수도 있다. 910에서, 기판이 페데스탈 상에 포지셔닝되고 필요하다면 에지 커플링 링의 위치가 조정된다. 914에서, 기판의 처리가 수행된다. 기판의 프로세싱은 918에서 결정된 바와 같이 이루어지고, 기판은 922에서 페데스탈로부터 이동된다. 924에서, 또 다른 기판이 프로세싱되어야 하는지 여부를 결정한다. 924가 참이면, 방법은 910으로 돌아간다. 그렇지 않으면 방법이 종료된다.
918이 거짓이고 기판이 부가적인 처리를 필요로 하면, 방법은 에지 커플링 링의 조정이 요구되는지 여부를 930에서 결정한다. 930이 거짓이면, 방법은 914로 돌아간다. 930이 참이면, 934에서 에지 커플링 링의 적어도 일부가 하나 이상의 액추에이터들을 사용하여 이동되고 방법은 914로 돌아간다. 알 수 있는 바와 같이, 에지 커플링 링은 동일한 프로세싱 챔버의 동일한 기판의 처리들 사이에 조정될 수 있다.
이제 도 15를 참조하면, 에지 커플링 링 (1014) 및 리프팅 링 (1018) 이 페데스탈 (1010) 의 상부 표면에 인접하고 주변에 배치된다. 에지 커플링 링 (1014) 은 상기 기술된 바와 같이 에칭 동안 기판에 인접하게 배치되는 방사상으로 내측 에지를 포함한다. 리프팅 링 (1018) 은 에지 커플링 링 (1014) 의 적어도 일부 아래에 배치된다. 리프팅 링 (1018) 은 로봇 암을 사용하여 에지 커플링 링 (1014) 을 제거할 때 페데스탈 (1010) 의 표면 위로 에지 커플링 링 (1014) 을 상승시키도록 사용된다. 에지 커플링 링 (1014) 은 프로세싱 챔버가 대기압에 개방될 것을 필요로 하지 않고 제거될 수 있다. 일부 예들에서, 리프팅 링 (1018) 은 이하에 기술될 바와 같이, 에지 커플링 링 (1014) 을 제거하도록 로봇 암에 대해 공간 (clearance) 을 제공하기 위해 원주방향으로 이격된 단부들 (1020) 사이에 개방된 부분 (1019) 을 선택가능하게 포함할 수도 있다.
이제 도 16 및 도 17을 참조하면, 에지 커플링 링 (1014) 및 리프팅 링 (1018) 의 일 예가 보다 상세히 도시된다. 도 16에 도시된 예에서, 페데스탈은 일반적으로 (1021) 로 식별된 정전 척 (ESC) 을 포함할 수도 있다. ESC (1021) 는 ESC 플레이트들 (1022, 1024, 1030 및 1032) 과 같은 하나 이상의 적층된 플레이트들을 포함할 수도 있다. ESC 플레이트 (1030) 는 중간의 ESC 플레이트에 대응할 수도 있고 ESC 플레이트 (1032) 는 ESC 베이스플레이트에 대응할 수도 있다. 일부 예들에서, O-링 (1026) 이 ESC 플레이트들 (1024 및 1030) 사이에 배치될 수도 있다. 특정한 페데스탈 (1010) 이 도시되지만, 다른 타입들의 페데스탈들이 사용될 수도 있다.
하단 에지 커플링 링 (1034) 이 에지 커플링 링 (1014) 및 리프팅 링 (1018) 아래에 배치될 수도 있다. 하단 에지 커플링 링 (1034) 은 ESC 플레이트들 (1024, 1030 및 1032) 및 O-링 (1026) 의 방사상 외부에 인접하게 배치될 수도 있다.
일부 예들에서, 에지 커플링 링 (1014) 은 하나 이상의 셀프-센터링 (self-centering) 피처들 (1040, 1044 및 1046) 을 포함할 수도 있다. 단지 예를 들면, 셀프-센터링 피처들 (1040 및 1044) 은 삼각형-형상, 암 (female) 셀프-센터링 피처들일 수도 있지만, 다른 형상들이 사용될 수도 있다. 셀프-센터링 피처 (1046) 는 기울어진 표면일 수도 있다. 리프팅 링 (1018) 은 하나 이상의 셀프-센터링 피처들 (1048, 1050 및 1051) 을 포함할 수도 있다. 단지 예를 들면, 셀프-센터링 피처들 (1048 및 1050) 은 삼각형-형상, 수 (male) 셀프-센터링 피처들일 수도 있지만, 다른 형상들이 사용될 수도 있다. 셀프-센터링 피처 (1051) 는 셀프-센터링 피처 (1046) 에 상보적인 형상을 갖는 기울어진 표면일 수도 있다. 리프팅 링 (1018) 상의 셀프-센터링 피처 (1048) 는 에지 커플링 링 (1014) 상의 셀프-센터링 피처 (1044) 와 매이팅할 (mate) 수도 있다. 리프팅 링 (1018) 상의 셀프-센터링 피처 (1050) 는 하단 에지 커플링 링 (1034) 의 셀프-센터링 피처 (1052) 와 매이팅할 수도 있다.
리프팅 링 (1018) 은 방사상으로 외측으로 연장하는 돌출부 (1054) 를 더 포함한다. 홈부 (1056) 는 돌출부 (1054) 의 하단-대면 표면 (1057) 상에 배치될 수도 있다. 홈부 (1056) 는 액추에이터 (1064) 에 연결되고 선택적으로 액추에이터 (1064) 에 의해 수직으로 이동되는 필라 (pillar)(1060) 의 일 단부에 의해 바이어스되도록 구성된다. 액추에이터 (1064) 는 제어기에 의해 제어될 수도 있다. 알 수 있는 바와 같이, 단일 홈부, 필라 및 액추에이터가 도시되지만, 부가적인 홈부들, 필라들 및 액추에이터들이 리프팅 링 (1018) 을 상향 방향으로 바이어스하기 위해 리프팅 링 (1018) 주변에 이격된 관계로 원주방향으로 배치될 수도 있다.
도 17에서, 필라(들) (1060) 및 액추에이터(들) (1064) 를 사용하여 리프팅 링 (1018) 에 의해 상향 방향으로 상승된 에지 커플링 링 (1014) 이 도시된다. 에지 커플링 링 (1014) 은 프로세싱 챔버로부터 로봇 암에 의해 제거될 수 있다. 보다 구체적으로, 로봇 암 (1102) 이 홀더 (1104) 에 의해 에지 커플링 링 (1014) 에 연결된다. 홀더 (1104) 는 에지 커플링 링 (1014) 상의 셀프-센터링 피처 (1040) 와 매이팅하는 셀프-센터링 피처 (1110) 를 포함할 수도 있다. 알 수 있는 바와 같이, 로봇 암 (1102) 및 홀더 (1104) 는 리프팅 링 (1018) 상의 셀프-센터링 피처 (1048) 를 없애기 (clear) 위해 에지 커플링 링을 상향으로 바이어스할 수도 있다. 이어서, 로봇 암 (1102), 홀더 (1104) 및 에지 커플링 링 (1014) 은 프로세싱 챔버로부터 이동될 수도 있다. 로봇 암 (1102), 홀더 (1104) 및 새로운 에지 커플링 링이 리프팅 링 (1018) 상으로 리턴되고 포지셔닝될 수 있다. 이어서, 리프팅 링 (1018) 은 하강된다. 역동작이 새로운 에지 커플링 링 (1014) 을 리프팅 링 (1018) 으로 전달하도록 사용될 수도 있다.
대안적으로, 리프팅 링 (1018) 으로부터 에지 커플링 링 (1014) 을 리프팅하기 위해 로봇 암 (1102) 및 홀더 (1104) 를 상향으로 리프팅하는 대신, 로봇 암 (1102) 및 홀더 (1104) 는 상승된 에지 커플링 링 (1014) 과 콘택트하여 아래에 포지셔닝될 수 있다. 이어서, 리프팅 링 (1018) 은 하강되고 에지 커플링 링 (1014) 은 로봇 암 (1102) 및 홀더 (1104) 상에 남는다. 로봇 암 (1102), 홀더 (1104) 및 에지 커플링 링 (1014) 이 프로세싱 챔버로부터 제거될 수 있다. 역동작이 리프팅 링 (1018) 상으로 새로운 에지 커플링 링 (1014) 을 전달하도록 사용될 수도 있다.
이제 도 18 내지 도 20을 참조하면, 이동식 에지 커플링 링 (1238) 및 리프팅 링 (1018) 이 도시된다. 도 18에서, 하나 이상의 필라들 (1210) 이 하나 이상의 액추에이터들 (1214) 에 의해 각각 ESC 베이스플레이트 (1032), 하단 에지 커플링 링 (1034) 및 리프팅 링 (1018) 의 보어들 (1220, 1224 및 1228) 을 통해 위아래로 이동된다. 이 예에서, 중단 에지 커플링 링 (1240) 또는 스페이서가 이동식 에지 커플링 링 (1238) 과 리프팅 링 (1018) 사이에 배치된다. 중간 에지 커플링 링 (1240) 은 셀프-센터링 피처들 (1244 및 1246) 을 포함할 수도 있다. 대응하는 셀프-센터링 피처들 (1248) 이 이동식 에지 커플링 링 (1238) 상에 제공될 수도 있다. 셀프-센터링 피처들 (1248) 은 중간 에지 커플링 링 (1240) 상의 셀프-센터링 피처들 (1246) 과 매이팅한다.
상기에 상세히 기술된 바와 같이, 이동식 에지 커플링 링 (1238) 의 상향 대면 표면의 부식이 사용 동안 발생할 수도 있다. 이는, 결국, 플라즈마의 프로파일을 변경할 수도 있다. 이동식 에지 커플링 링 (1238) 은 플라즈마의 프로파일을 변경하기 위해 필라들 (1210) 및 액추에이터들 (1214) 을 사용하여 상향 방향으로 선택적으로 이동될 수도 있다. 도 19에서, 도 18의 이동식 에지 커플링 링 (1238) 은 상승된 위치로 도시된다. 중간 에지 커플링 링 (1240) 은 고정된 채로 남아 있을 수도 있다. 결국, 이동식 에지 커플링 링 (1238) 은 1 회 이상 이동될 수도 있고 그 후 에지 커플링 링 (1238) 및 중간 에지 커플링 링 (1240) 이 교체될 수도 있다.
도 20에서, 액추에이터 (1214) 는 하강된 상태로 리턴되고 액추에이터 (1064) 는 상승된 상태로 이동된다. 에지 커플링 링 (1238) 및 중간 에지 커플링 링 (1240) 은 리프팅 링 (1018) 에 의해 리프팅되고 이동식 에지 커플링 링 (1238) 은 로봇 암 (1102) 및 홀더 (1104) 에 의해 제거될 수도 있다.
알 수 있는 바와 같이, 액추에이터들은 프로세싱 챔버 내 또는 프로세싱 챔버의 외부에 배치될 수 있다. 일부 예들에서, 에지 커플링 링들은 카세트, 로드록, 이송 챔버들 등을 통해 챔버로 공급될 수도 있다. 대안적으로, 에지 커플링 링들은 프로세싱 챔버의 외부이지만 기판 프로세싱 툴의 내부에 저장될 수도 있다.
이제 도 21 및 도 22를 참조하면, 리프팅 링은 일부 예들에서 생략될 수 있다. 에지 커플링 링 (1310) 이 하단 에지 커플링 링 (1034) 및 페데스탈의 방사상으로 외측 에지 상에 배치된다. 에지 커플링 링 (1310) 은 하나 이상의 셀프-센터링 피처들 (1316 및 1320) 을 포함할 수도 있다. 에지 커플링 링 (1310) 은 액추에이터 (1214) 에 의해 바이어스되는, 필라 (1210) 의 상단 표면을 수용하기 위한 홈부 (1324) 를 더 포함할 수도 있다. 셀프-센터링 피처 (1320) 는 하단 에지 커플링 링 (1034) 의 대응하는 셀프-센터링 피처 (1326) 에 대고 배치될 수도 있다. 일부 예들에서, 셀프-센터링 피처들 (1320 및 1326) 은 경사진 평면들이다.
도 22에서, 액추에이터 (1214) 및 필라 (1210) 는 부식이 발생한 후 에지 커플링 링 (1310) 을 제거하거나 플라즈마 프로파일을 조정하도록 에지 커플링 링 (1310) 을 상향으로 바이어스한다. 로봇 암 (1102) 및 홀더 (1104) 는 에지 커플링 링 (1310) 아래의 위치로 이동될 수 있다. 셀프-센터링 피처 (1316) 는 로봇 암 (1102) 에 연결된 홀더 (1104) 상의 셀프-센터링 피처 (1110) 에 의해 인게이지될 (engage) 수도 있다. 어느 로봇 암 (1102) 이 홈부 (1324) 와 필라 (1210) 사이에 공간을 제공하도록 상향 방향으로 이동하거나 필라 (1210) 는 홈부 (1324) 에 대한 공간을 제공하기 위해 액추에이터 (1214) 에 의해 하향으로 이동된다.
이제 도 23을 참조하면, 프로세싱 챔버를 대기압에 개방하지 않고 에지 커플링 링을 교체하기 위한 방법 (1400) 이 도시된다. 1404에서, 방법은 에지 커플링 링이 리프팅 링 상에 위치되는지 여부를 결정한다. 1404가 거짓이면, 방법은 1408에서 로봇 암을 사용하여 에지 커플링 링을 리프팅 링 상의 위치 내로 이동시킨다. 에지 커플링 링이 프로세싱 챔버 내 리프팅 링 상에 위치된 후, 1410에서 프로세스가 실행된다. 1412에서, 방법은 상기 기술된 임의의 기준을 사용하여 에지 커플링 링이 마모되었는지 여부를 결정한다. 1412가 거짓이면, 방법은 1410로 돌아가고 프로세스가 다시 실행될 수도 있다. 에지 커플링 링이 1412에서 마모된 것으로 결정되면, 에지 커플링 링은 1416에서 교체되고 방법은 1410에서 계속된다.
이제 도 24를 참조하면, 방법 (1500) 은 부식을 오프셋해야 할 때 이동식 에지 커플링 링의 위치를 조정하고 이동식 에지 커플링 링이 마모된 것으로 결정될 때 이동식 에지 커플링 링을 선택적으로 교체한다. 1502에서, 방법은 이동식 에지 커플링 링이 리프팅 링 상에 위치되었는지 여부를 결정한다. 1502가 거짓이면, 에지 커플링 링은 1504에서 리프팅 링 상의 위치로 이동되고 방법은 1502에서 계속된다.
1502가 참이면, 방법은 이동식 에지 커플링 링의 위치가 1506에서 조정되어야 하는지 여부를 결정한다. 1506이 참이면, 방법은 액추에이터를 사용하여 이동식 에지 커플링 링의 위치를 조정하고 1506으로 돌아간다. 1506이 거짓이면, 방법은 1510에서 프로세스를 실행한다. 1512에서, 방법은 이동식 에지 커플링 링이 마모되었는지 여부를 결정한다. 거짓이면, 방법은 1510으로 돌아간다.
1512가 참이면, 방법은 1520에서 이동식 에지 커플링 링이 가장 높은 (또는 완전히 조정된) 위치에 있는지 여부를 결정한다. 1520이 거짓이면, 방법은 1524에서 액추에이터 (1214) 를 사용하여 이동식 에지 커플링 링의 위치를 조정하고 방법은 1510으로 돌아간다. 1520이 참이면, 방법은 액추에이터 (1064), 리프팅 링 (1018) 및 로봇 암 (1102) 을 사용하여 이동식 에지 커플링 링을 교체한다.
이제 도 25를 참조하면, 프로세스 챔버를 대기압에 개방하지 않고 에지 커플링 링을 교체하기 위한 방법 (1600) 이 도시된다. 1610에서, 리프팅 링 및 에지 커플링 링은 액추에이터를 사용하여 상향으로 바이어스된다. 1620에서, 로봇 암 및 홀더는 에지 커플링 링 밑으로 이동된다. 1624에서, 로봇 암은 에지 커플링 링의 셀프-센터링 피처들을 없애기 위해 상향으로 이동되거나 리프팅 링이 하향으로 이동된다. 1628에서, 에지 커플링 링과 함께 로봇 암이 프로세싱 챔버로부터 이동된다. 1632에서, 에지 커플링 링이 로봇 암으로부터 탈착된다. 1636에서, 교체 에지 커플링 링이 로봇 암에 의해 픽업된다 (picked up). 1638에서, 에지 커플링 링이 리프팅 링 상에 포지셔닝되고 하나 이상의 셀프-센터링 피처들을 사용하여 정렬된다. 1642에서, 로봇 암은 셀프-센터링 피처를 위한 충분한 공간을 허용하도록 하강되고 로봇 암이 챔버로부터 제거된다. 1646에서, 리프팅 링 및 에지 커플링 링이 위치 내로 하강된다.
이제 도 26을 참조하면, 에지 커플링 링 상태 및 위치의 검출 피처들이 이제 기술될 것이다. 본 기술의 일부는 에지 커플링 링의 높이 및 부식의 직접적인 측정을 인에이블하는, 본 발명의 피처들에 따른 검출기 및 검출 방법에 초점을 둔다. ESC, 에지 커플링 링, 제어기, 및 액추에이터들을 포함하는 프로세싱 챔버의 다양한 엘리먼트들의 상세들은 이전에 제공되었고, 간략함 및 명확성을 위해 여기서 반복되지 않았다.
도 26에서, 프로세싱 챔버 (1710) 는 챔버 상단부 위에 포지셔닝된 윈도우 (1715) 를 갖는다. 챔버 (1710) 의 페데스탈 (1720) 이 그 상단에 장착된 정전 척 (ESC) (1725) 을 갖는다. 이전에 기술된 바와 같이 에지 커플링 링 (1740) 을 수평으로 그리고/또는 수직으로 이동시키는 액추에이터 메커니즘들 (1730, 1735) 이 ESC (1725) 에 인접하다. 액추에이터 메커니즘들 (1730, 1735) 중 하나 또는 모두는 선행하는 도면들에 대해 기술된 바와 같이 설치될 수도 있다. 웨이퍼 (1750) 가 에지 커플링 링 (1740) 내 ESC (1725) 상에 포지셔닝된다.
카메라 (1760) 가 챔버 (1710) 의 측면 뷰 포트 (1770) 를 통해 에지 커플링 링 (1740) 을 보기 위해 부착 메커니즘 (1765) 상에 장착된다. 부착 메커니즘 (1765) 은 측면 뷰 포트 (1770) 에 대한 카메라 (1760) 의 적합한 수직 및/또는 수평 이동을 인에이블하는 브라켓, 도킹 메커니즘, 또는 다른 적합한 부착 메커니즘일 수도 있고, 에지 커플링 링 (1740) 의 적절한 부분 상에 카메라 (1760) 의 적절한 포커싱을 인에이블한다. 일 피처에서, 측면 뷰 포트 (1770) 는 웨이퍼 프로세싱 동안 포트 내의 재료를 보호하기 위한 셔터 (1775) 를 포함한다. 일 피처에서, 셔터 (1775) 는 공압 게이트 밸브를 사용하여 동작한다.
일 피처에서, 도시된 바와 같이, 부착 메커니즘 (1765) 은 챔버 (1710) 상에 카메라 (1760) 를 장착한다. 또 다른 피처에서, 부착 메커니즘 (1765) 은 챔버 (1710) 옆의 구조체 상에 카메라 (1760) 를 장착한다.
일부 피처들에서, (이전 도면들에 도시된) 제어기는 카메라 (1760) 의 작동 (actuation), 포커싱 및 포지셔닝을 제어한다. 일부 피처들에서, 별도의 제어기 (1800) 가 카메라에 대한 작동, 포커싱 및 포지셔닝 중 하나 이상을 제공한다. 일부 피처들에서, 카메라 스스로 자신의 포커싱 메커니즘을 제공하지만, 본 명세서에 기술된 제어기들 중 하나는 제공된 이미지들의 개별 분석에 기초하여 카메라 자신의 포커싱을 보조한다.
다른 피처들에서, 카메라 (1760) 는 윈도우 (1715) 를 통해 보는 것을 허용하도록 설치된다. 도 26에서, 카메라 (1760) 는 에지 커플링 링 (1740) 의 내측 에지 상에 포커싱되는 것으로 도시된다. 에지 커플링 링 (1740) 은 챔버 (1710) 내 설치시, 새로운 상태로 도시된다.
에지 커플링 링 (1740) 의 상태 및 위치의 결정을 인에이블하고, 링 높이 및 링 부식의 직접적인 측정을 제공하기 적합한 사이즈의 이미지들을 생성하기 충분한 해상도 (예를 들어, 픽셀들의 수) 의 카메라 (1760) 이다. 일부 피처들에서, 카메라는 매크로 렌즈를 사용하여, 매크로 (확대 (close up)) 모드에서 동작한다. 다른 피처들에서, 렌즈는 적절한 배율 (magnification) 을 제공하는 광학 줌 렌즈일 수도 있다. 링 상태 및 위치를 결정하기 위해 충분한 정보 (예를 들어, 이미지) 의 생성을 인에이블하는 픽셀 수 및 배율의 임의의 조합 (매크로, 광학 줌 또는 일부 피처들에서, 디지털 줌) 이 허용가능할 것이다. 일부 피처들에서, 카메라 (1760) 는 매크로 및/또는 줌 사진과 조합하여 HDR (high dynamic range) 이미징을 사용하여 동작할 수도 있다.
일 피처에서, 에지 커플링 링 (1740) 을 밝히기 (illuminate) 위해 챔버 (1710) 내 충분한 광이 있도록, 플라즈마 광이 충분히 우수하다. 다른 피처들에서, LED (light emitting diode) 소스와 같은, 외부 조명 소스가 제공된다. 도 27에서, 도 26에 도시된 엘리먼트들에 더하여, 일부 피처들에서 외부 조명 장치 (1780) 는 챔버 (1710) 내 조명 (illumination) 을 제공한다. 도시된 바와 같이, 일 피처에서, 부착 메커니즘 (1785) 이 챔버 (1710) 상에 조명 장치 (1780) 를 장착한다. 또 다른 피처에서, 부착 메커니즘 (1785) 은 챔버 (1710) 옆의 구조체에 조명 장치 (1780) 를 장착한다. 일 피처에서, 조명 장치 (1780) 는 카메라 (1760) 에 부착된다. 다양한 특징들에 따라, 부착은 기계적 부착이거나 전기적 부착이거나 모두이다. 일부 피처들에서, 부가적인 측면 뷰 포트 (1790) 가 제공되고, 이를 통해 조명 장치 (1780) 가 챔버 (1710) 내로 광을 비춘다. 부착 메커니즘 (1785) 은 측면 뷰 포트 (1790) 에 대해 카메라 (1760) 의 적합한 수직 및/또는 수평 이동을 인에이블하는 브라켓, 도킹 메커니즘, 또는 다른 적합한 부착 메커니즘일 수도 있다. 일부 피처들에서, 부가적인 측면 뷰 포트 (1790) 는 측면 뷰 포트 (1770) 와 챔버 (1710) 의 동일한 측면 상에 있다. 다른 피처들에서, 부가적인 측면 뷰 포트 (1790) 는 측면 뷰 포트 (1770) 로부터 챔버 (1710) 의 상이한 측면 상에 있을 수도 있다. 일 피처에서, 측면 뷰 포트 (1790) 는 웨이퍼 프로세싱 동안 포트 내의 재료를 보호하기 위한 셔터 (1795) 를 포함한다. 일 피처에서, 셔터 (1795) 는 공압 게이트 밸브를 사용하여 동작한다. 또 다른 피처들에서, 조명 장치 (1780) 는 별도의 측면 뷰 포트 (1790) 가 필요하지 않은 경우, 카메라 (1760) 가 사용할 때 동일한 측면 뷰 포트 (1770) 를 통해 광을 비춘다.
예시의 용이성을 위해, 2 개의 측면 뷰 포트들 (1770, 1790) 이 개별적으로 챔버 (1710) 는 도 26에서보다 도 27에서 약간 보다 크게 도시되지만, 일부 피처들에서 챔버는 두 도면들에서 동일한 사이즈이다. 플라즈마 광원이 광원으로서 기능하면, 부가적인 측면 뷰 포트 (1790) 가 필요하지 않다.
동작시, 카메라 (1760) 의 포커스 및/또는 위치는 드리프트될 (drift) 수 있다. 일 피처에서, 제어기 (1800) 는 카메라 (1760) 의 포커스 및 위치를 모니터링하고, 적절한 조정을 한다.
도 28은 외부 반경보다 짧은 내부 반경을 갖는, 에지 커플링 링 (1740') 이 부식된 것으로 도시된 것을 제외하고, 도 27과 모두 동일한 엘리먼트들을 갖는다. 이전에 기술된 바와 같이, 웨이퍼 프로세싱 시스템이 점점 더 많은 웨이퍼들을 프로세스함에 따라 부식 또는 에칭이 발생한다. 또한 이전에 기술된 바와 같이, 카메라 (1760) 가 에지 커플링 링이 부식 웨이퍼의 에지에서 에칭을 제어하는 기능을 수행하는데 너무 많이 부식되는 것을 도시하는 이미지를 제공하면, 제어기 (560) 는 적절하게 수직으로 에지 커플링 링 (1740') 을 이동시키기 위해, 액추에이터들 (1730, 1735) 중 하나 또는 모두를 제어한다. 일 피처에서, 제어기들 (560 및 1800) 은 제어기 (560) 가 제어기 (1800) 로부터의 이미지 데이터에 반응하여 적절한 액추에이터(들)를 동작시키도록 서로 통신한다.
도 29a는 도 15의 평면도에 도시된 라이너 (1012) 의 개구부들 (1015) 의 확대된 도면이다. 개구부들은 라이너의 측면에 나타난다. 라이너 (1012) 는 카메라가 에지 커플링 링의 위치 및 상태의 이미지들을 촬영하기 위해 포커싱할 수 있는, 고정된 기준으로서 작용한다.
도 29b 및 도 29c는 각각 라이너 (1012) 의 개구부들 (1015) 에 대한, 에지 커플링 링의 우수한 배치 및 불량한 배치의 이미지들을 도시한다. 이들 도면들에서, 에지 커플링 링은 이미지 각각의 하단부에 있다. 도면 각각에서 어두운 부분들은 개구부들 (1015) 의 부분들이다. 어두운 부분들의 높이의 일관성이 배치의 품질을 나타낸다. 일 피처에서, 어두운 부분들의 높이는 어두운 부분들의 중심에서 수직 축을 따라 수직의 어두운 픽셀들의 수를 카운팅함으로써 결정된다. 도 29b에서, 어두운 부분들의 높이들 및 이들 부분들의 사이즈의 상대적인 균등성이 에지 커플링 링이 적절히 배치되었다는 것을 나타낸다. 도 29c에서, 어두운 부분들의 높이들의 비일관성 및 도면의 우측면 상의 어두운 부분들의 상대적으로 짧은 높이는 에지 커플링 링이 틸팅된다는 것을 나타낸다.
도 30a 내지 도 30c는 챔버에서 촬영된, 에지 커플링 링 (1740) 의 다양한 높이들 및 상태들의 원 (raw) 이미지들을 도시한다. 도 30a는 도 30a을 형성하기 위해 옆으로 배치된 6 개의 이미지들에서 알 수 있는 바와 같이 3.0, 3.2, 3.4, 3.6, 3.8, 및 4.0 ㎜의 높이들을 갖는 새로운 에지 커플링 링의 상태를 도시한다. 도 30b는 도 30a에서와 같이 동일한 높이들에서 링의 재캘리브레이션 (recalibration) 및 상승 전에, 마모된 에지 커플링 링의 상태를 도시한다. 도 30c는 링의 재캘리브레이션 및 상승 후, 도 30a 및 도 30b에서와 동일한 높이들의 마모된 에지 커플링 링의 상태를 도시한다.
일 피처에서, 도 30a 내지 도 30c에 도시된 이미지들과 같은 원 이미지들은 다시, 고정된 기준으로서 도 15의 라이너 (1012) 의 개구부들 (1015) 을 사용하여 몇몇 상이한 링 높이들 및 링 상태들을 봐서, 제 1 예의 카메라를 캘리브레이팅하도록 사용될 수도 있다. 일 피처에서, 캘리브레이션은 다음과 같이 수행될 수도 있다. 처음에, 새로운 에지 커플링 링이 설치되면, 이미지들이 예를 들어, 액추에이터들 중 하나 이상을 사용하여 링을 상승 및 하강시키도록, 몇몇 상이한 링 높이들에서 촬영될 수도 있다. 픽셀들에서, 링의 상이한 높이들을 측정하고 이들 측정값들을 물리적 측정값들과 비교하는 것은 TES (transition edge sensor) 의 캘리브레이션을 인에이블하여, 카메라를 캘리브레이션하기 위한 게이지를 제공한다. 캘리브레이션은 카메라 드리프트가 초점에서의 드리프트인지, 또는 초점 거리 (확대율 (degree of magnification)) 에서의 드리프트인지 설명하는데 유용할 수 있다. 예를 들어, 배율의 드리프트는 픽셀들의 수와 ㎛ 숫자 사이의 관계의 변화 때문에 변화된 높이 측정값을 발생시킬 수 있다.
도 31은 에지 커플링 링의 부식을 직접 측정하는 대안적인 방식을 도시한다. 도 26 내지 도 28에서, 카메라 (1760) 는 에지 커플링 링의 에지 내부에 바로 조준된다 (trained directly on). 그러나, 이 도면에서, 카메라는 에지 커플링 링의 전체 상단 표면의 이미지를 제공하는 경향이 있을 수 있어서, 실제 부식량을 잠재적으로 감추거나 마스킹한다. 이는 에지를 링의 상부 표면의 나머지로부터 차별화하는 것을 어렵게 하기 때문에, 에지 커플링 링의 내측 에지의 높이를 측정하기 어렵게 한다. 이미지는 흐릿하게 나타날 수 있다. 프론트 에지의 (㎛와 같은 높이의 단위로 변환되는, 픽셀들의 수로) 높이를 측정하고 이에 따라 부식도를 결정하도록, 프론트 에지를 선명하게 보여주는 것이 바람직하다.
이를 위해, 도 31에서, 에지 커플링 링의 내부에서 직접 보는 대신, 카메라 (1760) 는 에지 커플링 링의 내부의 거울상 (reflection) 을 취할 수 있다. 거울상은 ESC (1725) 의 표면으로부터, 또는 웨이퍼 (1750) 의 표면으로부터 올 수 있다. 표면들 중 하나 또는 모두는 반사하는 특징들을 가질 수 있다. 거울상에서 보면, 카메라 (1760) 는 에지 커플링 링 (1840) 의 거울상 (1840') 을 취한다. (점선들이 부식된 부분 (1845) 및 "거울상" (1845') 을 도시한다.)
에지 커플링 링 자체를 바라보는 대신 에지 커플링 링의 거울상을 바라봄으로써, 투시 문제가 방지된다. 에지 커플링 링의 내부 에지의 높이는 일부 예들에서, 에지 커플링 링의 상태의 보다 명확한 결정을 인에이블하도록 직접 측정될 수 있다.
에지 커플링 링의 거울상을 바라보는 것으로부터도 링 부식의 검출가능성에 대한 제한들이 있을 수 있다. 부식이 에지 커플링 링의 내부에서 발생되기 때문에, 부식은 외측 에지에 대한 링의 내측 에지의 높이를 감소시킨다. 감소가 보다 클수록, 링의 상부 표면이 효과적으로 틸팅하는 정도가 보다 크다. 일부 지점에서, "틸팅" 정도는 거울상에서 링의 내측 에지를 구별하기 어렵게 하여, 내측 에지의 높이를 측정하기 어렵게 하고, 따라서 부식 정도를 측정하기 어렵게 할 만큼 클 수 있다. 부식 정도를 결정하는 것의 불능은 액추에이터들을 사용하여 링 높이의 조정, 또는 심지어 링 교체를 너무 빠르거나 너무 느리게 트리거할 수 있다. 그 결과, 에지 커플링 링 중 어느 것은 너무 빨리 교체되어, 링의 유용한 수명을 낭비하고, 또는 링이 너무 느리게 상승되거나 교체되어, 웨이퍼의 방사상으로 외측 에지 근방의 에칭 프로파일에서 가변성을 야기한다. 일 피처에서, 카메라 (1760)가 반사된 이미지를 보는 각도를 상승시키는 것은 부식이 진행됨에 따라, 보상될 수 있다.
도 32는 카메라로부터의 이미지들을 사용하여 에지 커플링 링의 자리를 잡는 (seating) 방법을 도시한다. 1910에서 방법이 시작된 후, 1920에서 로봇이 에지 커플링 링을 ESC 상에 설치한다. 1930에서, 카메라는 링의 내측 에지를 식별하도록 포커싱된다. 앞서 논의된 바와 같이, 카메라는 에지 커플링 링의 내측 에지 상, 또는 ESC 또는 웨이퍼 상의 링의 거울상에 포커싱될 수 있다.
1940에서, 카메라는 도 15의 리프팅 링과 같은, 고정된 기준에 상대적인 에지 커플링 링의 이미지들을 촬영한다. 1950에서, 이미지들이 링이 수직으로 정렬되었는지 여부, 즉, (예를 들어, 도 29b에 도시된 바와 같이) 에지 커플링 링에 임의의 틸팅이 있는지 여부를 결정하기 위해 프로세싱되고 분석된다. 틸팅이 있다면, 1955에서 제어기 (560) 는 틸팅을 보상하도록 액추에이터들 중 하나 이상을 제어하고, 방법은 보다 많은 이미지들을 획득하도록 1940으로 돌아가고 (1950에서) 여전히 틸팅이 있는지 여부를 다시 체크한다.
에지 커플링 링이 틸팅되지 않는다면, 1960에서 획득된 이미지들을 다시 사용하여, 에지 커플링 링이 올바른 높이에 있는지 여부가 결정된다. 링이 올바른 높이에 있지 않다면, 1965에서 제어기 (560) 는 높이를 보정하기 위해 수직 액추에이터들 중 하나 이상을 제어하고, 방법은 보다 많은 이미지들을 획득하도록 1940으로 돌아가고 (1960에서) 에지 커플링 링이 올바른 높이에 있는지 여부를 다시 체크한다. 일 피처에서, 틸팅이 이미 조정되었으면, 1950은 스킵될 수 있고, 방법은 1940에서 1960으로 바로 진행할 수 있다. 또 다른 피처에서, 틸팅 및 높이는 1950과 1960을 단일 분석으로 결합하고, 1955와 1965을 단일 프로세스로 결합함으로써, 단일 동작으로 수직 액추에이터들을 제어하는 제어기 (560) 를 사용하여, 단일 단계에서 측정되고 조정될 수 있다.
일단 에지 커플링이 적절한 높이 및 수직 정렬되면, 1970에서 에지 커플링 링이 ESC 상에 수평으로 정렬되는지 여부를 결정한다. 수평으로 정렬되지 않으면, 1975에서 제어기 (560) 는 수평 액추에이터들 중 하나 이상으로 하여금 에지 커플링 링을 이동시키게 하고, 그 결과, 방법은 보다 많은 이미지들을 획득하도록 1940으로 돌아가고 (1970에서) 에지 커플링 링이 수평으로 정렬되는지 여부를 다시 체크한다. 일 특징에서, 수직 정렬이 이미 조정되었으면, 1950 및 1960은 스킵될 수 있고, 방법은 1940에서 1970으로 바로 진행할 수 있다.
도 32에 도시된 방법에서, 수직 정렬 및 수평 정렬은 나타낸 순서로 결정되지 않는다. 순서는 반전될 수 있어서, 수평 정렬이 먼저 조정되고, 이어서 수직 정렬이 이어진다. 일 특징에서, 제어기 (560) 는 에지 커플링 링의 포지셔닝에 관한 모든 정보를 수신할 수 있고, 에지 커플링 링을 정렬시키기 위해 복수의 액추에이터들을 한번에 제어할 수 있다. 이 특징에 따라, 1950, 1960, 및 1970은 단일 분석으로 결합될 수 있고, 1955, 1965, 및 1975는 일 프로세스로 결합될 수 있다.
도 33은 카메라로부터의 이미지들을 사용하여 에지 커플링 링을 조정하는 방법을 도시한다. 2010에서 방법이 시작된 후, 2020에서 링이 설치되고 웨이퍼 프로세싱이 시작된 후 미리 결정된 기간이 경과되었는지 여부가 결정된다. 그렇지 않다면, 방법은 미리 결정된 기간이 경과되었는지 알아보기 위해 2020으로 돌아간다.
일 특징에서, 미리 결정된 기간을 대기하는 대신, 2020에서 미리 결정된 수의 프로세싱 사이클들이 발생되었는지 여부가 결정된다. 그렇지 않다면, 방법은 사이클들의 수를 다시 체크하도록 2020으로 돌아간다.
미리 결정된 기간이 경과되었거나 미리 결정된 수의 프로세싱 사이클들이 발생되었다면, 2030에서 카메라는 링의 내측 에지를 식별하도록 포커싱된다. 앞서 논의된 바와 같이, 카메라는 에지 커플링 링의 내측 에지 상, 또는 ESC 또는 웨이퍼 상의 링의 거울상에 포커싱될 수 있다. 2040에서, 포커싱 후에 고정된 기준에 대한 에지 커플링 링의 이미지들이 촬영되고, 링의 내측 에지의 높이가 측정된다. 2050에서, 내측 에지가 웨이퍼의 표면 위로 적어도 미리 결정된 높이에 있는 것으로 결정되면, 2055에서 미리 결정된 기간을 대기하도록 결정된다. 일 특징에서, 미리 결정된 기간을 대기하는 대신, 미리 결정된 수의 웨이퍼 프로세싱 사이클들을 대기하도록 결정된다. 미리 결정된 기간이 경과되거나 미리 결정된 수의 프로세싱 사이클들이 발생된 후, 방법은 카메라가 재포커싱되는 2030, 및 이어서 보다 많은 이미지들이 촬영되는 2040으로 돌아가고, 2050에서 결정이 반복된다.
에지 커플링 링의 내측 에지가 웨이퍼의 표면 위로 적어도 미리 결정된 높이에 있지 않다고 결정되면, 2060에서 제어기 (560) 는 에지 커플링 링을 상승시키도록 수직 액추에이터들을 제어한다. 2070에서, 에지 커플링 링의 설치 후 미리 결정된 수의 사이클들이 경과되었는지 여부가 결정된다. 그렇지 않다면, 2055로 돌아가고 미리 결정된 기간을 대기한다. 일 특징에서, 2055에서 방법은 미리 결정된 수의 사이클들을 대기할 수 있다.
2070에서 미리 결정된 수의 사이클들이 경과되었다고 결정되면, 2080에서 에지 커플링 링이 교체된다. 일 특징에서, 미리 결정된 수의 사이클들이 경과되었는지 여부를 보는 대신, 액추에이터의 확장량이 측정될 수 있다. 액추에이터의 확장이 미리 결정된 양을 초과하면, 에지 커플링 링이 교체되어야 한다고 결정될 수 있다. 또 다른 특징에서, 직전에 선행된 대안들 중 어느 하나 대신, 에지 커플링 링의 설치 후 미리 결정된 시간 기간이 경과되었는지 여부가 결정될 수 있다. 이러한 시간 기간이 경과되었다면, 에지 커플링 링이 교체되어야 한다고 결정될 수 있다.
에지 커플링 링이 교체된 후, 방법은 2090에서 종료될 수 있고, 또는 시작으로 돌아갈 수 있다.
전술한 기술은 본질적으로 단지 예시이고, 어떠한 방식으로도 본 개시, 이의 적용예, 또는 사용들을 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시가 특정한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 자명해질 것이기 때문에 이렇게 제한되지 않아야 한다. 본 명세서에서 논의된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 하고, "적어도 하나의 A, 적어도 하나의 B, 및 적어도 하나의 C"를 의미하도록 해석되지 않아야 한다. 방법의 하나 이상의 단계들은 본 개시의 원리들을 변경하지 않고 상이한 순서로 (또는 동시에) 실행될 수도 있다는 것이 이해되어야 한다.
일부 구현예들에서, 제어기는 상술한 예들의 일부일 수도 있는 시스템의 일부일 수 있다. 이러한 시스템들은, 프로세싱 툴 또는 툴들, 챔버 또는 챔버들, 프로세싱용 플랫폼 또는 플랫폼들, 및/또는 특정 프로세싱 컴포넌트들 (웨이퍼 페데스탈, 가스 플로우 시스템, 등) 을 포함하는, 반도체 프로세싱 장비를 포함할 수 있다. 이들 시스템들은 반도체 웨이퍼 또는 기판의 프로세싱 이전에, 프로세싱 동안에 그리고 프로세싱 이후에 그들의 동작을 제어하기 위한 전자장치에 통합될 수도 있다. 전자장치들은 시스템 또는 시스템들의 다양한 컴포넌트들 또는 하위부분들을 제어할 수도 있는 "제어기"로서 지칭될 수도 있다. 제어기는, 시스템의 프로세싱 요건들 및/또는 타입에 따라서, 프로세싱 가스들의 전달, 온도 설정사항들 (예를 들어, 가열 및/또는 냉각), 압력 설정사항들, 진공 설정사항들, 전력 설정사항들, 무선 주파수 (RF) 생성기 설정사항들, RF 매칭 회로 설정사항들, 주파수 설정사항들, 플로우 레이트 설정사항들, 유체 전달 설정사항들, 위치 및 동작 설정사항들, 툴들 및 다른 이송 툴들 및/또는 특정 시스템과 연결되거나 인터페이싱된 로드록들 내외로의 웨이퍼 이송들을 포함하는, 본 명세서에 개시된 프로세스들 중 임의의 프로세스들을 제어하도록 프로그램될 수도 있다.
일반적으로 말하면, 제어기는 인스트럭션들을 수신하고, 인스트럭션들을 발행하고, 동작을 제어하고, 세정 동작들을 인에이블하고, 엔드 포인트 측정들을 인에이블하는 등을 하는 다양한 집적 회로들, 로직, 메모리, 및/또는 소프트웨어를 갖는 전자장치로서 규정될 수도 있다. 집적 회로들은 프로그램 인스트럭션들을 저장하는 펌웨어의 형태의 칩들, 디지털 신호 프로세서들 (DSP), ASIC (application specific integrated circuit) 으로서 규정되는 칩들 및/또는 프로그램 인스트럭션들 (예를 들어, 소프트웨어) 을 실행하는 하나 이상의 마이크로프로세서들, 또는 마이크로제어기들을 포함할 수도 있다. 프로그램 인스트럭션들은 반도체 웨이퍼 상에서 또는 반도체 웨이퍼에 대한 특정 프로세스를 실행하기 위한 동작 파라미터들을 규정하는, 다양한 개별 설정사항들 (또는 프로그램 파일들) 의 형태로 제어기로 또는 시스템으로 전달되는 인스트럭션들일 수도 있다. 일부 실시예들에서, 동작 파라미터들은 하나 이상의 층들, 재료들, 금속들, 산화물들, 실리콘, 이산화 실리콘, 표면들, 회로들, 및/또는 웨이퍼의 다이들의 제조 동안에 하나 이상의 프로세싱 단계들을 달성하도록 프로세스 엔지니어들에 의해서 규정된 레시피의 일부일 수도 있다.
제어기는, 일부 구현예들에서, 시스템에 통합되거나, 시스템에 커플링되거나, 이와 달리 시스템에 네트워킹되거나, 또는 이들의 조합으로 될 수 있는 컴퓨터에 커플링되거나 이의 일부일 수도 있다. 예를 들어, 제어기는 웨이퍼 프로세싱의 원격 액세스를 가능하게 할 수 있는 공장 (fab) 호스트 컴퓨터 시스템의 전부 또는 일부이거나 "클라우드" 내에 있을 수도 있다. 컴퓨터는 제조 동작들의 현 진행을 모니터링하고, 과거 제조 동작들의 이력을 조사하고, 복수의 제조 동작들로부터 경향들 또는 성능 계측치들을 조사하고, 현 프로세싱의 파라미터들을 변경하고, 현 프로세싱을 따르는 프로세싱 단계들을 설정하고, 또는 새로운 프로세스를 시작하기 위해서 시스템으로의 원격 액세스를 인에이블할 수도 있다. 일부 예들에서, 원격 컴퓨터 (예를 들어, 서버) 는 로컬 네트워크 또는 인터넷을 포함할 수도 있는 네트워크를 통해서 프로세스 레시피들을 시스템에 제공할 수 있다. 원격 컴퓨터는 차후에 원격 컴퓨터로부터 시스템으로 전달될 파라미터들 및/또는 설정사항들의 입력 또는 프로그래밍을 인에이블하는 사용자 인터페이스를 포함할 수도 있다. 일부 예들에서, 제어기는 하나 이상의 동작들 동안에 수행될 프로세스 단계들 각각에 대한 파라미터들을 특정한, 데이터의 형태의 인스트럭션들을 수신한다. 이 파라미터들은 제어기가 제어하거나 인터페이싱하도록 구성된 툴의 타입 및 수행될 프로세스의 타입에 특정적일 수도 있다는 것이 이해되어야 한다. 따라서, 상술한 바와 같이, 제어기는 예를 들어 서로 네트워킹되어서 함께 공통 목적을 위해서, 예를 들어 본 명세서에 기술된 프로세스들 및 제어들을 위해서 협력하는 하나 이상의 개별 제어기들을 포함함으로써 분산될 수도 있다. 이러한 목적들을 위한 분산형 제어기의 예는 챔버 상의 프로세스를 제어하도록 조합되는, (예를 들어, 플랫폼 레벨에서 또는 원격 컴퓨터의 일부로서) 원격으로 위치한 하나 이상의 집적 회로들과 통신하는 챔버 상의 하나 이상의 집적 회로들일 수 있다.
비한정적으로, 예시적인 시스템들은 플라즈마 에칭 챔버 또는 모듈, 증착 챔버 또는 모듈, 스핀-린스 챔버 또는 모듈, 금속 도금 챔버 또는 모듈, 세정 챔버 또는 모듈, 베벨 에지 에칭 챔버 또는 모듈, PVD (physical vapor deposition) 챔버 또는 모듈, CVD (chemical vapor deposition) 챔버 또는 모듈, ALD (atomic layer deposition) 챔버 또는 모듈, ALE (atomic layer etch) 챔버 또는 모듈, 이온 주입 챔버 또는 모듈, 트랙 (track) 챔버 또는 모듈, 및 반도체 웨이퍼들의 제조 및/또는 제작 시에 사용되거나 연관될 수도 있는 임의의 다른 반도체 프로세싱 시스템들을 포함할 수도 있다.
상술한 바와 같이, 툴에 의해서 수행될 프로세스 단계 또는 단계들에 따라서, 제어기는, 반도체 제작 공장 내의 툴 위치들 및/또는 로드 포트들로부터/로드 포트들로 웨이퍼들의 컨테이너들을 이동시키는 재료 이송 시에 사용되는, 다른 툴 회로들 또는 모듈들, 다른 툴 컴포넌트들, 클러스터 툴들, 다른 툴 인터페이스들, 인접 툴들, 이웃하는 툴들, 공장 도처에 위치한 툴들, 메인 컴퓨터, 또 다른 제어기 또는 툴들 중 하나 이상과 통신할 수도 있다.

Claims (26)

  1. 제 1 측면 뷰포트 (side view port) 를 갖는 프로세싱 챔버;
    상기 프로세싱 챔버 내에 배치된 페데스탈;
    상기 페데스탈을 둘러싸는 라이너로서, 상기 라이너는 적어도 하나의 개구부를 갖는, 상기 라이너;
    상기 페데스탈에 인접하게 배치된 에지 커플링 링으로서, 상기 에지 커플링 링은 기판이 상기 페데스탈 상에 배치될 때 상기 기판의 방사상으로 외측 에지 외부에 그리고 주변에 위치된 제 1 부분을 포함하는, 상기 에지 커플링 링;
    상기 에지 커플링 링의 에지 커플링 프로파일을 변경하기 위해 (i) 상기 기판 및 (ii) 상기 제 1 부분의 방사상으로 내측에 위치된 상기 에지 커플링 링의 제 2 부분에 대해 상기 에지 커플링 링의 상기 제 1 부분을 선택적으로 이동시키도록 구성된 액추에이터로서, 상기 액추에이터는 상기 제 1 부분의 상부 표면이 상기 기판의 상부 표면 위에 있는 적어도 하나의 위치로 상기 제 1 부분을 이동시키도록 구성되는, 상기 액추에이터; 및
    상기 에지 커플링 링의 상태를 검출하도록 구성된 검출기 시스템으로서, 상기 검출기 시스템은:
    상기 제 1 측면 뷰포트를 통해 상기 에지 커플링 링의 플라즈마-대면 표면의 이미지 데이터를 획득하도록 구성된 카메라로서, 상기 이미지 데이터는 상기 에지 커플링 링의 내부 에지를 포함하는, 상기 카메라; 및
    상기 이미지 데이터를 수신하고, 상기 이미지 데이터에서 상기 에지 커플링 링의 내부 에지를 식별하고, 상기 식별된 내부 에지에 근거하여 상기 에지 커플링 링의 상기 플라즈마-대면 표면의 상태 및 위치 중 적어도 하나를 결정하도록 구성된 제 1 제어기를 포함하는, 상기 검출기 시스템을 포함하는, 기판 프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 검출기 시스템은 상기 에지 커플링 링의 상기 이미지 데이터를 획득하기 위해 상기 카메라에 광을 제공하도록 구성된 조명 장치를 더 포함하는, 기판 프로세싱 시스템.
  3. 제 2 항에 있어서,
    상기 조명 장치는 상기 제 1 측면 뷰포트를 통해 광을 제공하는, 기판 프로세싱 시스템.
  4. 제 2 항에 있어서,
    상기 프로세싱 챔버는 제 2 뷰포트를 포함하고, 그리고 상기 조명 장치는 상기 제 2 뷰포트를 통해 광을 제공하는, 기판 프로세싱 시스템.
  5. 제 1 항에 있어서,
    프로세스 가스 및 캐리어 가스를 상기 프로세싱 챔버로 전달하도록 구성된 가스 전달 시스템; 및
    상기 기판을 에칭하기 위해 상기 프로세싱 챔버 내에서 플라즈마를 생성하도록 구성된 플라즈마 생성기를 더 포함하는, 기판 프로세싱 시스템.
  6. 제 5 항에 있어서,
    상기 플라즈마 생성기는 상기 에지 커플링 링의 상기 이미지 데이터를 획득하기 위해 상기 카메라에 광을 제공하는, 기판 프로세싱 시스템.
  7. 제 1 항에 있어서,
    상기 액추에이터는 상기 에지 커플링 링의 상기 플라즈마-대면 표면의 부식을 나타내는 상태에 반응하여 상기 기판에 대해 수직으로 상기 에지 커플링 링을 이동시키는, 기판 프로세싱 시스템.
  8. 제 1 항에 있어서,
    상기 액추에이터는 상기 에지 커플링 링의 오정렬을 나타내는 상태에 반응하여 상기 기판에 대해 수평으로 상기 에지 커플링 링을 이동시키는, 기판 프로세싱 시스템.
  9. 제 1 항에 있어서,
    상기 액추에이터는 상기 에지 커플링 링의 오정렬을 나타내는 상태에 반응하여 상기 기판에 대해 수직으로 상기 에지 커플링 링의 상기 제 1 부분을 이동시키는, 기판 프로세싱 시스템.
  10. 제 1 항에 있어서,
    상기 에지 커플링 링의 상기 제 1 부분을 선택적으로 이동시키도록 상기 액추에이터를 제어하기 위해 상기 제 1 제어기에 반응하도록 구성된 제 2 제어기를 더 포함하는, 기판 프로세싱 시스템.
  11. 제 10 항에 있어서,
    상기 제 2 제어기는 상기 에지 커플링 링의 충분한 부식의 결정에 반응하여 상기 에지 커플링 링의 교체를 유발하도록 구성되는, 기판 프로세싱 시스템.
  12. 제 1 항에 있어서,
    상기 카메라는 상기 이미지 데이터를 획득하기 위해 상기 에지 커플링 링에 조준되는, 기판 프로세싱 시스템.
  13. 제 1 항에 있어서,
    상기 페데스탈 상에 배치된 정전 척 (ESC; electrostatic chuck) 을 더 포함하고, 상기 카메라는 상기 이미지 데이터를 획득하기 위해 상기 기판 및 상기 ESC 중 적어도 하나에 조준되는, 기판 프로세싱 시스템.
  14. 제 1 항에 있어서,
    상기 이미지 데이터는 상기 라이너의 상기 적어도 하나의 개구부에 대한 상기 에지 커플링 링의 섹션의 이미지 데이터를 포함하고, 그리고 상기 에지 커플링 링의 상태 및 위치 중 적어도 하나를 결정하기 위해, 상기 제 1 제어기는 상기 에지 커플링 링의 상기 섹션과 상기 적어도 하나의 개구부의 상단부 사이의 높이를 계산하는, 기판 프로세싱 시스템.
  15. 제 14 항에 있어서,
    상기 라이너는 복수의 개구부들을 갖고; 상기 이미지 데이터는 상기 라이너의 상기 복수의 개구부들에 대한 상기 에지 커플링 링의 상기 섹션의 이미지 데이터를 포함하고, 그리고 상기 제 1 제어기는 상기 에지 커플링 링의 상기 섹션과 상기 복수의 개구부들의 대응하는 상단부들 사이의 복수의 높이들을 계산하고, 그리고 상기 제 1 제어기는 상기 에지 커플링 링의 상기 상태 및 상기 위치 중 적어도 하나를 결정하기 위해 상기 복수의 높이들을 비교하는, 기판 프로세싱 시스템.
  16. 제 1 항에 있어서,
    상기 제 1 제어기는 상기 에지 커플링 링의 상태의 검출에 반응하여 상기 카메라의 위치를 조정하는, 기판 프로세싱 시스템.
  17. 제 1 측면 뷰포트를 갖는 프로세싱 챔버, 상기 프로세싱 챔버 내에 배치된 페데스탈, 상기 페데스탈을 둘러싸는 라이너로서, 복수의 개구부들을 갖는 상기 라이너, 및 상기 페데스탈에 인접하게 배치된 에지 커플링 링으로서, 상기 페데스탈 상의 기판의 방사상으로 외측 에지 외부에 그리고 주변에 위치된 제 1 부분을 포함하는 상기 에지 커플링 링을 포함하는 기판 프로세싱 시스템에서,
    상기 에지 커플링 링의 상태 및 위치 중 하나 이상을 검출하기 위한 검출기 시스템에 있어서,
    상기 검출기 시스템은,
    상기 제 1 측면 뷰포트를 통해 상기 에지 커플링 링의 이미지 데이터를 획득하는 카메라로서, 상기 이미지 데이터는 상기 에지 커플링 링의 내부 에지를 포함하는, 상기 카메라; 및
    상기 이미지 데이터를 수신하고, 상기 이미지 데이터에서 상기 에지 커플링 링의 내부 에지를 식별하고, 상기 식별된 내부 에지에 근거하여 상기 에지 커플링 링의 플라즈마-대면 표면의 상기 위치 및 상기 상태 중 적어도 하나를 결정하는 제어기를 포함하고,
    상기 이미지 데이터는 상기 라이너의 상기 복수의 개구부들에 대한 상기 에지 커플링 링의 섹션의 이미지 데이터를 포함하고, 그리고 상기 제어기는 상기 에지 커플링 링의 상기 섹션과 상기 복수의 개구부들의 상이한 상단부들 사이의 복수의 높이들을 계산하고, 그리고 상기 제어기는 상기 에지 커플링 링의 상기 상태 및 상기 위치 중 하나를 결정하기 위해 상기 복수의 높이들을 비교하는, 검출기 시스템.
  18. 제 17 항에 있어서,
    상기 기판 프로세싱 시스템은 상기 페데스탈 상에 배치된 정전척 (ESC) 을 더 포함하고, 그리고 상기 카메라는 상기 이미지 데이터를 획득하기 위해 상기 기판 및 상기 ESC 중 하나에 조준하는, 검출기 시스템.
  19. 기판 프로세싱 시스템의 에지 커플링 링의 상태 및 위치 중 적어도 하나를 결정하는 방법에 있어서, 상기 방법은,
    에지 커플링 링의 내측 에지를 식별하는 단계;
    고정된 기준에 대해 상기 에지 커플링 링의 이미지 데이터를 획득하는 단계;
    상기 에지 커플링 링이 수직으로 정렬되는지 여부를 결정하기 위해 상기 이미지 데이터를 프로세싱하는 단계;
    상기 에지 커플링 링이 수직으로 정렬되지 않았다는 결정에 반응하여, 상기 에지 커플링 링을 수직으로 조정하는 단계;
    상기 에지 커플링 링의 상기 내측 에지가 미리 결정된 높이에 있는지 여부를 결정하는 단계;
    상기 에지 커플링 링의 상기 내측 에지가 상기 미리 결정된 높이에 있지 않다는 결정에 반응하여, 상기 에지 커플링 링이 수직으로 조정될 수 있는지 여부를 결정하는 단계; 및
    상기 에지 커플링 링이 수직으로 조정될 수 있다는 결정에 반응하여, 상기 에지 커플링 링을 수직으로 조정하는 단계를 포함하는, 에지 커플링 링의 상태 및 위치 중 적어도 하나의 결정 방법.
  20. 제 19 항에 있어서,
    상기 에지 커플링 링이 수직으로 조정될 수 없다는 결정에 반응하여, 상기 에지 커플링 링의 교체를 지시하는 단계를 더 포함하는, 에지 커플링 링의 상태 및 위치 중 적어도 하나의 결정 방법.
  21. 제 19 항에 있어서,
    상기 에지 커플링 링이 수직으로 조정될 수 있는지 여부를 결정하는 단계는 상기 기판 프로세싱 시스템의 상기 에지 커플링 링의 설치 후 미리 결정된 수의 반도체 프로세싱 사이클들이 있었는지 여부를 결정하는 단계를 포함하는, 에지 커플링 링의 상태 및 위치 중 적어도 하나의 결정 방법.
  22. 제 19 항에 있어서,
    상기 에지 커플링 링이 수직으로 조정될 수 있는지 여부를 결정하는 단계는 상기 기판 프로세싱 시스템의 상기 에지 커플링 링 설치 후 미리 결정된 양의 시간이 경과되었는지 여부를 결정하는 단계를 포함하는, 에지 커플링 링의 상태 및 위치 중 적어도 하나의 결정 방법.
  23. 제 19 항에 있어서,
    상기 에지 커플링 링이 수직으로 조정될 수 있는지 여부를 결정하는 단계는 상기 에지 커플링 링이 최대 한도로 수직으로 상승되었는지 여부를 결정하는 단계를 포함하는, 에지 커플링 링의 상태 및 위치 중 적어도 하나의 결정 방법.
  24. 제 19 항에 있어서,
    상기 에지 커플링 링을 수직으로 조정하는 단계는 상기 에지 커플링 링의 일 부분을 상기 에지 커플링 링의 또 다른 부분에 대해 수직으로 조정하는 단계를 포함하는, 에지 커플링 링의 상태 및 위치 중 적어도 하나의 결정 방법.
  25. 제 19 항에 있어서,
    상기 에지 커플링 링이 수평으로 정렬되는지 여부를 결정하는 단계; 및
    상기 에지 커플링 링이 수평으로 정렬되지 않았다는 결정에 반응하여, 상기 에지 커플링 링을 수평으로 조정하는 단계를 더 포함하는, 에지 커플링 링의 상태 및 위치 중 적어도 하나의 결정 방법.
  26. 제 25 항에 있어서,
    상기 에지 커플링 링을 수평으로 조정하는 단계는 상기 기판 프로세싱 시스템의 페데스탈에 대해 상기 에지 커플링 링을 이동시키는 단계를 포함하고, 기판이 상기 페데스탈 상에 배치되는, 에지 커플링 링의 상태 및 위치 중 적어도 하나의 결정 방법.
KR1020197038881A 2017-05-31 2018-05-21 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템 KR102529764B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237014975A KR102658105B1 (ko) 2017-05-31 2018-05-21 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/609,570 US20170263478A1 (en) 2015-01-16 2017-05-31 Detection System for Tunable/Replaceable Edge Coupling Ring
US15/609,570 2017-05-31
PCT/US2018/033656 WO2018222430A2 (en) 2017-05-31 2018-05-21 Detection system for tunable/replaceable edge coupling ring

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237014975A Division KR102658105B1 (ko) 2017-05-31 2018-05-21 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템

Publications (2)

Publication Number Publication Date
KR20200004439A KR20200004439A (ko) 2020-01-13
KR102529764B1 true KR102529764B1 (ko) 2023-05-04

Family

ID=64455600

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020197038881A KR102529764B1 (ko) 2017-05-31 2018-05-21 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템
KR1020237014975A KR102658105B1 (ko) 2017-05-31 2018-05-21 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020237014975A KR102658105B1 (ko) 2017-05-31 2018-05-21 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템

Country Status (5)

Country Link
JP (2) JP7096271B2 (ko)
KR (2) KR102529764B1 (ko)
CN (1) CN110692130B (ko)
TW (2) TWI788356B (ko)
WO (1) WO2018222430A2 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN113130284B (zh) * 2019-12-31 2023-01-24 中微半导体设备(上海)股份有限公司 等离子体刻蚀设备
JP6989980B2 (ja) * 2020-06-15 2022-01-12 アダプティブ プラズマ テクノロジー コーポレーション 半導体工程のための部品整列装置及びこれによる部品整列方法
CN113830700A (zh) * 2020-06-24 2021-12-24 拓荆科技股份有限公司 水平自动调整的升降系统及方法
JP7455012B2 (ja) 2020-07-07 2024-03-25 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理装置の載置台
US20220108908A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Shadow ring kit for plasma etch wafer singulation process
CN112397366B (zh) 2020-11-05 2023-07-14 北京北方华创微电子装备有限公司 一种承载装置及半导体反应腔室
CN114639582A (zh) * 2020-12-15 2022-06-17 中微半导体设备(上海)股份有限公司 一种边缘环高度测量装置及方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173223A (ja) * 2004-12-14 2006-06-29 Toshiba Corp プラズマエッチング装置およびそれを用いたプラズマエッチング方法
US20160125589A1 (en) * 2014-10-30 2016-05-05 Applied Materials, Inc. System and method to detect substrate and/or substrate support misalignment using imaging

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001230239A (ja) 2000-02-15 2001-08-24 Tokyo Electron Ltd 処理装置及び処理方法
US6805952B2 (en) * 2000-12-29 2004-10-19 Lam Research Corporation Low contamination plasma chamber components and methods for making the same
US20030019428A1 (en) * 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
JP3795820B2 (ja) * 2002-03-27 2006-07-12 株式会社東芝 基板のアライメント装置
US20070224709A1 (en) * 2006-03-23 2007-09-27 Tokyo Electron Limited Plasma processing method and apparatus, control program and storage medium
JP2010034416A (ja) 2008-07-30 2010-02-12 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US8034723B2 (en) * 2009-12-25 2011-10-11 Tokyo Electron Limited Film deposition apparatus and film deposition method
US20120237682A1 (en) * 2011-03-18 2012-09-20 Applied Materials, Inc. In-situ mask alignment for deposition tools
US9006633B2 (en) * 2012-11-02 2015-04-14 The United States Of America As Represented By The Secretary Of The Army Passive imaging correction system using feedback including a variable aperture with plural settings and method thereof
US8902429B1 (en) * 2012-12-05 2014-12-02 Kla-Tencor Corporation Focusing detector of an interferometry system
KR102104018B1 (ko) * 2013-03-12 2020-04-23 어플라이드 머티어리얼스, 인코포레이티드 방위각 및 방사상 분배 제어되는 다중-구역 가스 주입 조립체
US9543225B2 (en) * 2014-04-29 2017-01-10 Lam Research Corporation Systems and methods for detecting endpoint for through-silicon via reveal applications
US9026244B1 (en) * 2014-05-22 2015-05-05 Applied Materials, Inc. Presence sensing and position correction for wafer on a carrier ring
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
US10041868B2 (en) 2015-01-28 2018-08-07 Lam Research Corporation Estimation of lifetime remaining for a consumable-part in a semiconductor manufacturing chamber
TWI574334B (zh) * 2015-03-17 2017-03-11 陳勇吉 檢測晶圓的方法
JP6880364B2 (ja) * 2015-08-18 2021-06-02 株式会社Screenホールディングス 基板処理装置および基板処理方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006173223A (ja) * 2004-12-14 2006-06-29 Toshiba Corp プラズマエッチング装置およびそれを用いたプラズマエッチング方法
US20160125589A1 (en) * 2014-10-30 2016-05-05 Applied Materials, Inc. System and method to detect substrate and/or substrate support misalignment using imaging

Also Published As

Publication number Publication date
CN110692130A (zh) 2020-01-14
JP7096271B2 (ja) 2022-07-05
WO2018222430A2 (en) 2018-12-06
CN110692130B (zh) 2024-02-13
KR20200004439A (ko) 2020-01-13
JP2020522134A (ja) 2020-07-27
TWI788356B (zh) 2023-01-01
TW202312310A (zh) 2023-03-16
TW201906042A (zh) 2019-02-01
JP7483795B2 (ja) 2024-05-15
WO2018222430A3 (en) 2019-01-10
KR102658105B1 (ko) 2024-04-16
JP2022130533A (ja) 2022-09-06
KR20230066483A (ko) 2023-05-15

Similar Documents

Publication Publication Date Title
US20170263478A1 (en) Detection System for Tunable/Replaceable Edge Coupling Ring
KR102529764B1 (ko) 튜닝가능/교체가능한 에지 커플링 링에 대한 검출 시스템
US20210183687A1 (en) Edge ring arrangement with moveable edge rings
JP6976686B2 (ja) エッジリング特性評価を実行するためのシステムおよび方法
US10541168B2 (en) Edge ring centering method using ring dynamic alignment data
US11605546B2 (en) Moveable edge coupling ring for edge process control during semiconductor wafer processing
KR102662003B1 (ko) 영상 기반 웨이퍼 노치 위치 측정
US20220134568A1 (en) Fixture for automatic calibration of substrate transfer robot
KR20220066367A (ko) 광 간섭계 및 반사계를 사용하여 반도체 장비의 자율적 (autonomous) 프로세스 제어 및 최적화를 위한 시스템들 및 방법들
KR200491953Y1 (ko) 비전 정렬
JP2020522134A5 (ko)

Legal Events

Date Code Title Description
E701 Decision to grant or registration of patent right
GRNT Written decision to grant