JP2014523141A - ダイ上の開ウィンドウを有するヒートスプレッダを備えた基板上のダイを含む電子的アッセンブリ - Google Patents

ダイ上の開ウィンドウを有するヒートスプレッダを備えた基板上のダイを含む電子的アッセンブリ Download PDF

Info

Publication number
JP2014523141A
JP2014523141A JP2014520275A JP2014520275A JP2014523141A JP 2014523141 A JP2014523141 A JP 2014523141A JP 2014520275 A JP2014520275 A JP 2014520275A JP 2014520275 A JP2014520275 A JP 2014520275A JP 2014523141 A JP2014523141 A JP 2014523141A
Authority
JP
Japan
Prior art keywords
tsv
die
electronic assembly
heat spreader
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2014520275A
Other languages
English (en)
Other versions
JP6053779B2 (ja
Inventor
智 横矢
ローズ シモンズ マシューズ マーガレット
Original Assignee
日本テキサス・インスツルメンツ株式会社
テキサス インスツルメンツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日本テキサス・インスツルメンツ株式会社, テキサス インスツルメンツ インコーポレイテッド filed Critical 日本テキサス・インスツルメンツ株式会社
Publication of JP2014523141A publication Critical patent/JP2014523141A/ja
Application granted granted Critical
Publication of JP6053779B2 publication Critical patent/JP6053779B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/40Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs
    • H01L23/4006Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs with bolts or screws
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Cooling Or The Like Of Semiconductors Or Solid State Devices (AREA)

Abstract

電子的アッセンブリ(150)が、ワークピース(110)、及び、基板(105)及び複数の基板貫通ビア(TSV)(115)と、頂部側(121)と、その上にTSVコネクタ(113)を有する底部側(122)とを含むTSVダイ(120)を含む。TSVダイは、ワークピースに対してその頂部側でワークピース上に取り付けられる。内部開ウィンドウ(131)を有するヒートスプレッダ(130)が、TSVダイの底部側にある。ボンディング特徴(161)が、TSVコネクタに結合されるか又はTSVコネクタ自体を含む。ボンディング特徴は、内部開ウィンドウから、ヒートスプレッダの頂部(132)の高さを上回る高さまで突出し、頂部ダイをそこにボンディングさせ得る。

Description

開示される実施例は、高められた冷却のためのヒートスプレッダを含むシステムインパッケージ(SIP)の前駆体(precursor)、及びそこからのスタックされたダイSIPに関する。
システムインパッケージ(SIP)は、単一のパッケージ又はモジュールに囲まれる多数の集積回路(IC)を含む。SIPは、電子的システムの機能の全て又は殆どを実行し、携帯電話、PDA(Personal Digital Assistant)、及びデジタル音楽プレーヤを含む製品内部で通常用いられる。一つのSIP内で、個々のICが、垂直にスタックされ得る(3D配置)か又は水平に配置され得る。これらのICは、パッケージにボンディングされる微細配線により内部的に接続され得る。代替として、フリップチップ技術で、スタックされたチップを共に接合するためにはんだバンプが用いられる。
幾つかのSIPが、基板貫通ビア、又はより全般的には、ロジック/プロセッサダイなど、基板貫通ビア(本明細書において「TSVダイ」と称する)を含むダイを含み、TSVは、TSVダイの全厚みにわたる垂直の接続を提供する1つ又は複数のTSVアレイに配置される。TSVは、複数のダイを垂直にスタッキングすること、及び、それらを従来のワイヤボンディング又はフリップチップバンピングを用いることなく相互接続することを可能にする。例えば、TSVは、一連のメモリチップをスタッキングするために用いることができ、ダイ間の信号経路又は熱伝達経路を提供することができる。
幾つかのTSVは、TSVダイの底部側(非アクティブ側)から突出する、突出TSVティップを含む。このようなTSVダイは、厚みが30μm〜80μmなど、薄いことがある。薄いTSVダイは歪みを受け易く、歪みは、TSVに対する信頼性の低い接続となり得る。均一且つ信頼性の高い接続のため、このようなTSVダイの平坦性は、TSVダイの全エリアにわたって概して数μm内に保たれるべきである。
薄いTSVダイを含むSIPを形成するための1つのプロセスにおいて、他のダイに取り付けられたTSVダイを基板にボンディングする前に、薄いTSVダイが、機械的支持のため別のダイにボンディングされ得る。例えば、シンギュレーションされたTSVダイが、フラットキャリアを用いてメモリダイ(又はモジュール)に取り付けられ得、その後、TSVダイ/メモリダイが有機基板などの基板に取り付けられる。別のSIP形成プロセスでは、TSVダイが基板にボンディングされ、その後、頂部ダイがTSVダイにボンディングされる。いずれの場合においても、基板は、ボールグリッドアレイ(BGA)を含み得、SIPは印刷回路基板(PCB)に取り付けられ得る。
これらの既知のSIPプロセスには幾つかの欠点がある。頂部ダイ(例えば、メモリダイ)を取り付ける前にTSVダイをテストすることはできない。従って、TSVダイ又はTSVダイから基板に対する接続の欠陥が、ダイ(例えば、メモリダイ)に対する良品のスクラップを含むイールド損失を生じさせる恐れがある。エンドユーザーは、自身のシステム(4Gb、8Gb、16Gbなど)に対し異なるメモリ密度も要望し得、複数のSIP製品を開発する必要性が生じる。SIPベンダーは、メモリを購入し、在庫のメモリデバイスを保つ必要もある。
スタックされたダイSIPに関する別の問題は、オペレーション中の電力放散に起因する加熱である。最近、演算性能が増大するにつれて、TSVダイの電力消費が増大している。TSVダイの頂部上のメモリダイなど、SIPにおける個々のICは、冷却が適切に及び適当に供給されない場合、過度に加熱され得る。ダイスタックにおける個々のIC間の空間は、流体が流れるにはギャップが概して小さすぎるため、冷却チャネルを提供するには小さすぎる可能性もある。スタックされたSIPにおける頂部ダイ(例えば、メモリダイ)の頂部上にヒートスプレッダが取り付けられ得るが、これは効率的な冷却配置ではない。というのは、頂部ダイの熱抵抗がTSVダイからの効率的な電力放散を妨げ、頂部ダイ(例えば、メモリダイ)の加熱がSIPシステムに対する一層高い電力消費を生じさせるためである。
開示される実施例は、複数の基板貫通ビア(TSV)と、能動回路要素を含む頂部側と、その上にTSVコネクタを有する底部側とを含むTSVダイを含む電子的アッセンブリを説明する。TSVダイは、その頂部側が、その底部側を上にしたワークピース上に取り付けられる。内部開ウィンドウ(即ち、アパーチャ)を有するヒートスプレッダが、TSVダイの底部側にある。ボンディング特徴が、TSVコネクタに結合されるか、又はTSVコネクタ自体を含む。ボンディング特徴は、内部開ウィンドウから、頂部ダイ(例えば、メモリダイ)をTSVダイにボンディングさせ得るヒートスプレッダの頂部の高さを上回る高さまで突出する。
システムインパッケージ(SIP)を形成するため頂部ダイがアッセンブリされると、ヒートスプレッダはTSVダイと頂部ダイとの間にある。TSVダイと頂部ダイとの間にヒートスプレッダを配置することにより、上述のように頂部ダイの頂部上に取り付けられる従来のヒートスプレッダに比べて熱抵抗熱伝達経路が一層低いため、冷却効率が著しく高められる。ヒートスプレッダは更に、機械的安定性及び剛性を付加することもでき、ワークピース上の概して薄いTSVダイ(例えば、40μm〜80μm)の歪みを防止する。
一実施例において、TSVコネクタは突出TSVティップを含む。この実施例において、ボンディング特徴は突出TSVティップを含む。別の実施例において、ボンディング特徴は、複数のTSVを含むインターポーザを含み、複数のTSVは、TSVダイの底部側で再配線層(RDL)によりTSVダイ上のTSVに結合されるパッドなどのTSVコネクタに結合される。
例示の実施例に従った、例示の電子的アッセンブリの断面図であり、この電子的アッセンブリは、ワークピースと、頂部側を下にワークピースに取り付けられた基板貫通ビア(TSV)ダイと、TSVダイの底部側で内部開ウィンドウを有するヒートスプレッダと、開ウィンドウからヒートスプレッダの頂部の高さを上回る高さまで突出する突出TSVティップとして示されるボンディング特徴とを含む。
例示の実施例に従った、別の例の電子的アッセンブリの断面図であり、この電子的アッセンブリは、ワークピースと、頂部側を下にワークピースに取り付けられたTSVダイと、TSVダイの底部側で内部開ウィンドウを有するヒートスプレッダと、内部ウィンドウからヒートスプレッダの頂部の高さを上回る高さまで突出する複数のTSVを含むインターポーザとして示されるボンディング特徴とを含む。
例示の実施例に従った、その上に頂部ダイを有する図1Bに示す電子的アッセンブリを含む、スタックされたダイシステムインパッケージ(SIP)の断面図であり、ワークピースは、印刷回路基板(PCB)に結合されるボールグリッドアレイ(BGA)を含む。
例示の実施例に従って、図1Bに示す複数の電子的アッセンブリを形成するため、例示のアッセンブリフローにおける連続的工程を経た断面図を示す。 例示の実施例に従って、図1Bに示す複数の電子的アッセンブリを形成するため、例示のアッセンブリフローにおける連続的工程を経た断面図を示す。 例示の実施例に従って、図1Bに示す複数の電子的アッセンブリを形成するため、例示のアッセンブリフローにおける連続的工程を経た断面図を示す。
例示の実施例に従って、アンダーフィルに対し横方向にある、ヒートスプレッダとワークピースとの間の熱的インタフェース材料を含む例示の電子的アッセンブリの断面図を示す。
例示の実施例に従って、高められた電力放散能力を提供する幾つかの例示のスタックされたダイSIPの断面図を図示する。 例示の実施例に従って、高められた電力放散能力を提供する幾つかの例示のスタックされたダイSIPの断面図を図示する。
例示の実施例に従って、集積されたTSVベースのキャパシタを含む例示のインターポーザの断面図を図示する。
図1Aは、例示の実施例に従った、例示の電子的アッセンブリ100を示し、電子的アッセンブリ100は、ワークピース110、その頂部側121を下にワークピース110上に取り付けられる基板貫通ビア(TSV)ダイ120を含む。TSVダイ120は、基板105、複数のTSV115を含む。複数のTSV115は、誘電体スリーブ116により形作られた金属コア117を含む突出TSVティップ115(a)を含み、その頂部側121が、トランジスタを含むなど、能動回路要素(図示せず)を含む。示される実施例において、TSVコネクタは、突出TSVティップ115(a)により提供され、TSVティップ115(a)は、TSVダイの底部側122のボンディング特徴も提供する。金属コア117が銅などの少数寿命短縮金属を含むとき、誘電体スリーブ116上に金属拡散障壁層(例えば、耐火性金属を含む)が概して存在するが、簡潔にするため図示しない。また、TSVダイ120の頂部側121及び底部側122の誘電体層も簡潔にするため図示しない。
ワークピース110は、有機基板などのパッケージ基板を含み得る。一実施例において、TSVダイ120のフロント側121は、ワークピース110の表面上のコンタクトパッドに取り付けられるはんだキャップ金属ピラーを含む。内部開ウィンドウ(アパーチャ)131を有するヒートスプレッダ130が、TSVダイ120の底部側122にある。突出TSVティップ115(a)は、ボンディング特徴を提供するため内部開ウィンドウ131からヒートスプレッダ130の頂部132の高さを上回る高さまで突出することを見ることができる。アンダーフィル140が、ヒートスプレッダ130とTSVダイ120との間、及びヒートスプレッダ130とワークピース110との間に提供される。ワークピース110は、TSVダイ120とは反対の側でボールグリッドアレイ(BGA)135を含んで示されている。
ヒートスプレッダ130は概して、銅又は銅合金など、金属又は金属合金を含む。ヒートスプレッダ130のための他の例示の材料には、アルミニウム、アルミニウム/シリコン/銅、ニッケル及びタングステンが含まれる。ヒートスプレッダ130のための非平坦形状は、スタンピングによるなど、種々の異なる方法によって提供され得る。ヒートスプレッダ130の開ウィンドウ131は、ボンディング又は自己整合方式のためフリップチップダイを整合するために用いられる従来のシステムなどの標準のシステムを含む種々の方法を用いて、突出TSVティップ115(a)の位置と整合され得る。
この実施例において、ヒートスプレッダ130は電子的アッセンブリ100のバックボーンとして機能する。ワークピース110からヒートスプレッダ130に対する機械的応力を最小化するため、やわらかい材料(適切な熱膨張係数(CTE)を備えた熱的に伝導性のエラストマーなど)をアンダーフィル140として用いることができる。
一実施例において、TSVティップ115(a)は6〜30μmの長さであり、TSVダイ120の上のヒートスプレッダ130の厚みは、TSVティップ115(a)の長さより2〜5μm短い。図1Aには図示していないが、TSVティップ115(a)は、一つの特定の実施例においてNi/Auなど、金属キャップ層ティップフィニッシュを含み得る。
図1Bは、例示の電子的アッセンブリ150を示し、電子的アッセンブリ150は、例示の実施例に従って、ワークピース110と、その頂部側121を下にワークピースに取り付けられるTSVダイ120と、TSVダイの底部側122の内部開ウィンドウ131を有するヒートスプレッダ130と、インターポーザ160によって提供されて示されるボンディング特徴とを含む。インターポーザ160は、内部開ウィンドウ131からヒートスプレッダ130の高さを上回る高さまで突出するTSVティップ161(a)を含む複数のTSV161を含む。この実施例において、TSVダイ120上のTSV115は、TSVダイ120の底部側122から突出せず、TSVダイ120の底部側122のTSVコネクタ113に結合されたボンディング特徴は、インターポーザ160を含む。
TSVコネクタ113は、TSVダイ120の底部側122から突出していてもよく(例えば、図1A参照)、突出しなくてもよい。1つの特定の例示において、TSVダイ120の底部側122のTSVコネクタ113は、TSVダイ120の底部側122から突出しないTSV115に再配線層により結合される金属パッドを含み得、そのため、TSVコネクタがTSV115から横方向にずれる。一実施例において、インターポーザ160は250〜400μmの厚みであり、ヒートスプレッダ130はインターポーザ160の厚みに近づく厚みを有し、TSVティップ161(a)は、ヒートスプレッダ130の頂部132の高さを約5μm〜10μm上回って延びる。
図2は、例示の実施例に従った、スタックされたダイシステムインパッケージ(SIP)200を示し、ダイSIP200は、その上に頂部ダイ210を有する図1Bに示した電子的アッセンブリ150を含み、ワークピース110が、印刷回路基板(PCB)230に結合されるBGA135を含む。頂部ダイ210は任意選択のTSV215を含んで示されており、これにより、別のダイ(図示せず)を頂部ダイ210に取り付けることができる。頂部ダイ210とヒートスプレッダ130/インターポーザ160間にアンダーフィル240が示されている。
図3A〜図3Cは、例示の実施例に従って、図1Bに示す複数の電子的アッセンブリ150を形成するための例示のアッセンブリ方法における連続的な工程を図示する。図3Aは、パッケージ基板パネル(又はストリップ)に対するものなど、パッケージ基板として示されるワークピース110に取り付けられるTSVダイ120を示す。この取り付けのために熱圧縮(TC)ボンディングが用いられ得る。図3Bは、TSVダイ120の底部側122のTSVコネクタ113までのTSV161を含むインターポーザ160を取り付け、インターポーザ160の横方向のTSVダイ120の底部側122にアンダーフィル140をディスペンスした後の中間構造を示す。
図3Cは、ヒートスプレッダ160を取り付けた後の中間構造を示す。この構造は、アンダーフィル140を流し硬化するための硬化ツールにおいて硬化した後の図3Bに示した中間構造上のインターポーザ160上に、その上に取り付けられた任意選択の保護シート191を有する。アンダーフィル140を硬化させるための典型的な例示の条件は、1時間150℃である。この中間アッセンブリ全体が、上側及び下側ツール間に挿入され得、加圧され、硬化され得る。アッセンブリの平坦性及び高さはこれらのツールにより制御され、如何なる過剰アンダーフィル材料140もヒートスプレッダ130の側から流れ得、これはフィレットとなる。保護シート191は、フィルムアシストモールディングにおいて用いられるものなどのポリマーシートを含み得、TSVダイ120とヒートスプレッダ130との間のアンダーフィル材料140のオーバーフローが開ウィンドウ131から出ることを妨げ得る。
BGA135をワークピース110上に形成するためのボール取り付けが続き得る。ワークピース110は、図1Bに示した複数の電子的アッセンブリ150を提供するためシンギュレーションされ得る。保護シート191を取り除いた後、電子的アッセンブリ150は、インターポーザ160のTSVティップ161(a)を用いて電子的アッセンブリの(TSVダイ120の底部側122でTSV115に結合されるTSVコネクタ113に結合された)1つの側に対する電気的コンタクトに対し、及びBGA135を用いて電子的アッセンブリ150の(TSVダイ120の頂部側121に結合された)他方の側に対する電気的コンタクトに対し、テストされ得る。
その後、スタックされたダイSIPを形成するため、図1Aに示した電子的アッセンブリ100又は図1Bに示した電子的アッセンブリ150を含むシンギュレーション後の開示される電子的アッセンブリ上に、頂部ダイがアッセンブリされ得る。1つのSIPフローにおいて、保護シート191が取り除かれ、その後、ヒートスプレッダ130上にアンダーフィル材料がディスペンスされる。メモリ(モジュール又はダイ)など、頂部ダイ210(後に説明する図5参照)がその後、TSVダイ120からインターポーザ160又は突出TSVティップ115(a)まで接続され得る。
幾つかの他の実施例において、ヒートスプレッダ130は、アンダーフィル材料140に比べて比較的高い熱伝導率を有する熱的インタフェース材料を用いて、ワークピース110に取り付けられる。アンダーフィル材料140は、それが電気的コンタクト領域に適用されるため誘電体である。一方、ヒートスプレッダ130を横方向に取り付けるために、高い熱伝導率が概して望ましい。例えば、セラミック充填材などの熱的インタフェース材料(TIM)が用いられ得る。
図4は、アンダーフィル140に対し横方向にある、ヒートスプレッダ130とワークピース110との間のセラミック充填材などのTIM417を含む例示の電子的アッセンブリ400を示す。この実施例は、付加的な工程を付加するが、熱放散を改善する接着性材料の適切な選択における更なる柔軟性を提供する。
図5及び図6は、高められた電力放散能力を提供する、それぞれ、幾つかの例示のスタックされたダイSIP500及び600のための断面を図示する。図5に示すヒートスプレッダ130は、PCB230の頂部表面に向かって延びる垂直の部分130(a)を有し、垂直の部分130(a)は、はんだ又は電気的導電性接着剤などの電気的導電性材料511を用いてPCB230の頂部表面に取り付けられ、PCBビア231を介してPCB230のGND面232に接地される。この実施例は、改良された電磁干渉(EMI)シールド及び改良された電力放散を提供する。図6に示すSIP600は、取り付けられた外部ヒートシンク610を有して示されている。
図7は、例示の実施例に従った、基板705と複数の集積されたTSVベースのキャパシタ701とを含む例示のインターポーザ700を示す。インターポーザ700は概して、インターポーザ700より上及び下のデバイス(簡潔にするため図示せず)に対する接続のためのTSVを更に含む。インターポーザ700の頂部表面721及び底部表面722のはいずれも、それぞれのGND面706及び707を有する。TSVベースのキャパシタ701のTSVコア金属712が、基板705(例えば、シリコン)から、及びそのため、熱的シリコン酸化物又は高k(少なくとも10のk値)誘電体などの、薄い誘電体スリーブ709によりGND面706及び707から電気的に絶縁され、薄い誘電体スリーブ709は、用途(及び使用する電圧範囲)に応じて5nm程度の薄さの等価酸化膜膜厚(EOT)とし得る。図7に示すインターポーザ構造を用いて、TSVコア712と基板705との間の高い静電容量値を有する、集積されたTSVベースのキャパシタを構築することが可能である。
TSVベースのキャパシタを開示されるインターポーザに統合することにより、信号/電力インテグリティを実質的に改良することが可能である。インターポーザ700のGND面706又は707をヒートスプレッダに接続し、この実施例を、図4B又は図5に示すものなど、他の開示される実施例と組み合わせることも可能である。
アクティブ半導体ダイ上に形成されるアクティブ回路要素は、トランジスタ、ダイオード、コンデンサ、及びレジスタを概して含み得る回路要素だけでなく、これらの種々の回路要素を相互接続する信号ライン及び他の導体を含む。開示される実施例は、種々のデバイス及び関連する製品を形成するための種々のプロセスフローに統合することができる。半導体基板は、層の中及び/又は層の上の種々の要素を含み得る。これらは、障壁層、他の誘電体層、デバイス構造、及び、ソース領域、ドレイン領域、ビットライン、ベース、エミッタ、コレクタ、導電性ライン、導電性ビアなどを含むアクティブ要素及び受動要素を含み得る。また、開示される実施例を、バイポーラ、CMOS、BiCMOS、及びMEMSを含む種々の半導体デバイス製造プロセスにおいて用いることができる。
当業者であれば、本発明の特許請求の範囲内で、説明した例示の実施例に変形が成され得ること、及び多くの他の実施例が可能であることが分かるであろう。

Claims (20)

  1. 電子的アッセンブリであって、
    ワークピース、
    基板及び複数の基板貫通ビア(TSV)と、頂部側と、その上にTSVコネクタを有する底部側とを含むTSVダイであって、前記ワークピースに対して前記頂部側で前記ワークピース上に取り付けられる、前記TSVダイ、
    前記TSVダイの前記底部側に内部開ウィンドウを有するヒートスプレッダ、及び
    前記TSVコネクタに結合されるか又は前記TSVコネクタを含むボンディング特徴であって、前記内部開ウィンドウから前記ヒートスプレッダの頂部の高さを上回る高さまで突出する、前記ボンディング特徴、
    を含む、電子的アッセンブリ。
  2. 請求項1に記載の電子的アッセンブリであって、前記TSVコネクタが、突出TSVティップを含み、前記ボンディング特徴が前記TSVコネクタを含む、電子的アッセンブリ。
  3. 請求項1に記載の電子的アッセンブリであって、前記ボンディング特徴が、複数のTSVを含むインターポーザを含む、電子的アッセンブリ。
  4. 請求項3に記載の電子的アッセンブリであって、前記インターポーザが、少なくとも一つの集積されたTSVベースのキャパシタを含む、電子的アッセンブリ。
  5. 請求項1に記載の電子的アッセンブリであって、前記ヒートスプレッダ上の頂部ダイを更に含み、前記頂部ダイが前記ボンディング特徴に結合される、電子的アッセンブリ。
  6. 請求項5に記載の電子的アッセンブリであって、前記頂部ダイ上に外部ヒートシンクを更に含み、前記ヒートスプレッダの横方向に、前記外部ヒートシンクが、熱的インタフェース材料により前記ワークピースに熱的に結合される、電子的アッセンブリ。
  7. 請求項5に記載の電子的アッセンブリであって、前記ヒートスプレッダが、前記ワークピースの頂部表面に向かって延びる垂直の部分を含み、前記垂直の部分が、電気的に導電性の材料によって前記ワークピースの前記頂部表面に取り付けられ、ワークピースビアを介して前記ワークピースにおける接地面に接地される、電子的アッセンブリ。
  8. 請求項1に記載の電子的アッセンブリであって、
    前記ヒートスプレッダと前記TSVダイの前記底部側との間の第1のアンダーフィル材料と、
    前記ヒートスプレッダと前記ワークピースとの間の前記TSVダイの横方向の、前記第1のアンダーフィル材料の熱伝導率より大きい熱伝導率を有する第2のアンダーフィル材料と、
    を更に含む、電子的アッセンブリ。
  9. 請求項1に記載の電子的アッセンブリであって、前記TSVコネクタが前記底部側から突出しない、電子的アッセンブリ。
  10. 請求項1に記載の電子的アッセンブリであって、前記ワークピースが、前記TSVダイとは反対の側にボールグリッドアレイ(BGA)を有する有機基板を含む、電子的アッセンブリ。
  11. 請求項1に記載の電子的アッセンブリであって、前記ヒートスプレッダ上の取り外し可能な保護シートを更に含む、電子的アッセンブリ。
  12. 電子的アッセンブリであって、
    ワークピース、
    基板及び複数の基板貫通ビア(TSV)と、頂部側と、その上にTSVコネクタを有する底部側とを含むTSVダイであって、前記ワークピースに対し前記頂部側で前記ワークピース上に取り付けられる、前記TSVダイ、
    前記TSVダイの前記底部側に内部開ウィンドウを有するヒートスプレッダ、及び
    前記TSVダイの前記底部側で前記TSVコネクタに結合される前記開ウィンドウに複数のTSVを含むインターポーザ、
    を含む、電子的アッセンブリ。
  13. 請求項12に記載の電子的アッセンブリであって、前記インターポーザが、少なくとも一つの集積されたTSVベースのキャパシタを含む、電子的アッセンブリ。
  14. 請求項12に記載の電子的アッセンブリであって、前記ヒートスプレッダ上に頂部ダイを更に含み、前記頂部ダイが、前記インターポーザの前記複数のTSVに結合される、電子的アッセンブリ。
  15. 電子的アッセンブリを形成する方法であって、
    基板及び複数の基板貫通ビア(TSV)と、頂部側と、その上にTSVコネクタを有する底部側とを含むTSVダイを、前記頂部側を下にワークピース上に取り付けること、及び
    前記TSVダイの前記底部側に内部開ウィンドウを有するヒートスプレッダを取り付けること、
    を含み、
    前記TSVコネクタに結合されるか又は前記TSVコネクタを含む前記ボンディング特徴が、前記内部開ウィンドウから前記ヒートスプレッダの頂部の高さを上回る高さまで突出する、
    方法。
  16. 請求項15に記載の方法であって、前記TSVコネクタが、突出TSVティップを含み、前記ボンディング特徴が前記TSVコネクタを含む、方法。
  17. 請求項15に記載の方法であって、
    突出TSVティップを含む複数のTSVを含むインターポーザを前記TSVダイの底部側に取り付けることであって、前記複数のTSVが前記TSVダイの前記TSVコネクタに結合されること、及び
    前記TSVダイの前記底部側を含んで、前記インターポーザの横方向にアンダーフィルをディスペンスすること、
    を更に含む、方法。
  18. 請求項17に記載の方法であって、前記インターポーザが、少なくとも一つの集積されたTSVベースのキャパシタを含む、方法。
  19. 請求項15に記載の方法であって、前記ヒートスプレッダ上の頂部ダイを取り付けることを更に含み、前記頂部ダイが前記ボンディング特徴に結合される、方法。
  20. 請求項15に記載の方法であって、前記ワークピースが、前記TSVダイとは反対の側にボールグリッドアレイ(BGA)を有する有機基板を含む。
JP2014520275A 2011-07-11 2012-07-11 ダイ上の開ウィンドウを有するヒートスプレッダを備えた基板上のダイを含む電子的アッセンブリ Active JP6053779B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/180,085 US8526186B2 (en) 2011-07-11 2011-07-11 Electronic assembly including die on substrate with heat spreader having an open window on the die
US13/180,085 2011-07-11
PCT/US2012/046229 WO2013009853A2 (en) 2011-07-11 2012-07-11 Electronic assembly including die on substrate with heat spreader having an open window on the die

Publications (2)

Publication Number Publication Date
JP2014523141A true JP2014523141A (ja) 2014-09-08
JP6053779B2 JP6053779B2 (ja) 2016-12-27

Family

ID=47506890

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2014520275A Active JP6053779B2 (ja) 2011-07-11 2012-07-11 ダイ上の開ウィンドウを有するヒートスプレッダを備えた基板上のダイを含む電子的アッセンブリ

Country Status (4)

Country Link
US (1) US8526186B2 (ja)
JP (1) JP6053779B2 (ja)
CN (1) CN103782381B (ja)
WO (1) WO2013009853A2 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9563221B2 (en) 2015-04-01 2017-02-07 Fujitsu Limited Semiconductor device and method for setting voltage in semiconductor device

Families Citing this family (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9721868B2 (en) * 2009-07-30 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit (3DIC) having a thermally enhanced heat spreader embedded in a substrate
US10181454B2 (en) * 2010-03-03 2019-01-15 Ati Technologies Ulc Dummy TSV to improve process uniformity and heat dissipation
US9040349B2 (en) * 2012-11-15 2015-05-26 Amkor Technology, Inc. Method and system for a semiconductor device package with a die to interposer wafer first bond
US9349616B2 (en) * 2013-03-13 2016-05-24 Stats Chippac, Ltd. Semiconductor device and method of forming WLCSP with semiconductor die embedded within interconnect structure
JP6196815B2 (ja) * 2013-06-05 2017-09-13 新光電気工業株式会社 冷却装置及び半導体装置
US9082743B2 (en) 2013-08-02 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC packages with heat dissipation structures
US9583415B2 (en) * 2013-08-02 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with thermal interface material on the sidewalls of stacked dies
US9252054B2 (en) 2013-09-13 2016-02-02 Industrial Technology Research Institute Thinned integrated circuit device and manufacturing process for the same
US9721852B2 (en) * 2014-01-21 2017-08-01 International Business Machines Corporation Semiconductor TSV device package to which other semiconductor device package can be later attached
WO2015116130A1 (en) * 2014-01-31 2015-08-06 Hewlett-Packard Development Company, L.P. Interposer
US10020236B2 (en) * 2014-03-14 2018-07-10 Taiwan Semiconductar Manufacturing Campany Dam for three-dimensional integrated circuit
US9443744B2 (en) * 2014-07-14 2016-09-13 Micron Technology, Inc. Stacked semiconductor die assemblies with high efficiency thermal paths and associated methods
US9691746B2 (en) 2014-07-14 2017-06-27 Micron Technology, Inc. Methods of manufacturing stacked semiconductor die assemblies with high efficiency thermal paths
US9337119B2 (en) 2014-07-14 2016-05-10 Micron Technology, Inc. Stacked semiconductor die assemblies with high efficiency thermal paths and associated systems
US9368566B2 (en) 2014-07-17 2016-06-14 Qualcomm Incorporated Package on package (PoP) integrated device comprising a capacitor in a substrate
JP2016029681A (ja) * 2014-07-25 2016-03-03 イビデン株式会社 多層配線板及びその製造方法
CN104269386A (zh) * 2014-08-28 2015-01-07 西安电子科技大学 一种多芯片封装粘结层导热齿结构
KR102237978B1 (ko) 2014-09-11 2021-04-09 삼성전자주식회사 반도체 패키지 및 그 제조방법
JP6473595B2 (ja) 2014-10-10 2019-02-20 イビデン株式会社 多層配線板及びその製造方法
US10541229B2 (en) * 2015-02-19 2020-01-21 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US9807285B2 (en) * 2015-03-25 2017-10-31 Intel Corporation Apparatus, method and techniques for dissipating thermal energy
JPWO2016162991A1 (ja) * 2015-04-08 2017-08-24 三菱電機株式会社 半導体装置および半導体装置の製造方法
WO2016174899A1 (ja) * 2015-04-27 2016-11-03 富士電機株式会社 半導体装置
US9645619B2 (en) * 2015-05-29 2017-05-09 Corsair Memory, Inc. Micro heat pipe cooling system
WO2016192069A1 (zh) 2015-06-04 2016-12-08 华为技术有限公司 移动终端及散热屏蔽结构
CN107851622B (zh) * 2015-08-31 2021-11-02 英特尔公司 低热阻悬挂管芯封装
DE112015007145T5 (de) * 2015-11-25 2018-08-30 Mitsubishi Electric Corporation Halbleitervorrichtung, Invertervorrichtung und Automobil
US20190045666A1 (en) * 2015-12-24 2019-02-07 Intel Corporation Electronic device heat transfer system and related methods
US10098220B2 (en) * 2015-12-24 2018-10-09 Intel Corporation Electronic device heat transfer system and related methods
US9913361B2 (en) 2016-01-06 2018-03-06 International Business Machines Corporation Integrated circuit device assembly
US10475750B2 (en) * 2016-04-02 2019-11-12 Intel Corporation Systems, methods, and apparatuses for implementing an organic stiffener with an EMI shield for RF integration
TWI584720B (zh) * 2016-06-15 2017-05-21 瑞昱半導體股份有限公司 電子裝置及其散熱及電磁屏蔽結構
US10197623B2 (en) * 2016-09-15 2019-02-05 Texas Instruments Incorporated Heatable interposer for temperature-controlled testing of semiconductor devices
WO2018063196A1 (en) * 2016-09-28 2018-04-05 Intel IP Corporation Systems, methods, and apparatuses for implementing reduced height semiconductor packages for mobile electronics
US11276667B2 (en) * 2016-12-31 2022-03-15 Intel Corporation Heat removal between top and bottom die interface
US10424527B2 (en) * 2017-11-14 2019-09-24 International Business Machines Corporation Electronic package with tapered pedestal
US10825696B2 (en) 2018-07-02 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Cross-wafer RDLs in constructed wafers
US10541156B1 (en) * 2018-10-31 2020-01-21 International Business Machines Corporation Multi integrated circuit chip carrier package
US11004758B2 (en) * 2019-06-17 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
CN110808233A (zh) * 2019-12-12 2020-02-18 上海先方半导体有限公司 一种用于系统散热的封装结构及其封装工艺
US11967538B2 (en) 2021-04-09 2024-04-23 Google Llc Three dimensional IC package with thermal enhancement
CN113241331B (zh) * 2021-04-22 2022-11-15 中国电子科技集团公司第二十九研究所 基于阵列散热的三维集成结构及其制备方法和分析方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002093962A (ja) * 2000-09-11 2002-03-29 Internatl Business Mach Corp <Ibm> 電子部品用放熱体、電子部品装置、電気回路装置、及びコンピュータ
JP2007103413A (ja) * 2005-09-30 2007-04-19 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
JP2009246258A (ja) * 2008-03-31 2009-10-22 Nikon Corp 半導体装置および製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7592697B2 (en) * 2007-08-27 2009-09-22 Intel Corporation Microelectronic package and method of cooling same
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
DE102008048420A1 (de) * 2008-06-27 2010-01-28 Qimonda Ag Chip-Anordnung und Verfahren zum Herstellen einer Chip-Anordnung
US8263497B2 (en) 2009-01-13 2012-09-11 International Business Machines Corporation High-yield method of exposing and contacting through-silicon vias
US8314483B2 (en) * 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US8604603B2 (en) 2009-02-20 2013-12-10 The Hong Kong University Of Science And Technology Apparatus having thermal-enhanced and cost-effective 3D IC integration structure with through silicon via interposers
US8344512B2 (en) 2009-08-20 2013-01-01 International Business Machines Corporation Three-dimensional silicon interposer for low voltage low power systems
US8143704B2 (en) 2009-10-02 2012-03-27 Texas Instruments Incorporated Electronic assemblies including mechanically secured protruding bonding conductor joints
US8390009B2 (en) * 2010-02-16 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitting diode (LED) package systems
US8183578B2 (en) * 2010-03-02 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Double flip-chip LED package components
CN101916757B (zh) * 2010-07-23 2011-12-21 广东昭信光电科技有限公司 一种微流体冷却的硅晶圆片级led照明系统

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002093962A (ja) * 2000-09-11 2002-03-29 Internatl Business Mach Corp <Ibm> 電子部品用放熱体、電子部品装置、電気回路装置、及びコンピュータ
JP2007103413A (ja) * 2005-09-30 2007-04-19 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
JP2009246258A (ja) * 2008-03-31 2009-10-22 Nikon Corp 半導体装置および製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9563221B2 (en) 2015-04-01 2017-02-07 Fujitsu Limited Semiconductor device and method for setting voltage in semiconductor device

Also Published As

Publication number Publication date
JP6053779B2 (ja) 2016-12-27
CN103782381A (zh) 2014-05-07
US20130016477A1 (en) 2013-01-17
US8526186B2 (en) 2013-09-03
CN103782381B (zh) 2016-11-09
WO2013009853A3 (en) 2013-04-04
WO2013009853A2 (en) 2013-01-17

Similar Documents

Publication Publication Date Title
JP6053779B2 (ja) ダイ上の開ウィンドウを有するヒートスプレッダを備えた基板上のダイを含む電子的アッセンブリ
US11289451B2 (en) Semiconductor package with high routing density patch
US11626388B2 (en) Interconnect structure with redundant electrical connectors and associated systems and methods
US10062665B2 (en) Semiconductor packages with thermal management features for reduced thermal crosstalk
US11664352B2 (en) Semiconductor package having a high reliability
TWI538145B (zh) 半導體裝置及其製造方法
US9136159B2 (en) Method and system for a semiconductor for device package with a die-to-packaging substrate first bond
US8786070B2 (en) Microelectronic package with stacked microelectronic elements and method for manufacture thereof
US7750459B2 (en) Integrated module for data processing system
TWI567916B (zh) 封裝與方法
US8299590B2 (en) Semiconductor assembly having reduced thermal spreading resistance and methods of making same
US20130082383A1 (en) Electronic assembly having mixed interface including tsv die
US20140264933A1 (en) Wafer Level Chip Scale Packaging Intermediate Structure Apparatus and Method
KR101107858B1 (ko) 반도체 기판을 위한 도전 필러 구조 및 그 제조 방법
US20220352121A1 (en) Semiconductor package having passive support wafer
US8138594B2 (en) Semiconductor device and manufacturing method of a semiconductor device
US9455243B1 (en) Silicon interposer and fabrication method thereof
US9839159B1 (en) Dispense pattern for thermal interface material for a high aspect ratio thermal interface
US9666556B2 (en) Flip chip packaging
US9892985B2 (en) Semiconductor device and method for manufacturing the same
TWI830115B (zh) 封裝結構及其製造方法
TWI741388B (zh) 半導體封裝體及其製造方法
TW201316485A (zh) 半導體封裝件及其製法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140603

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20150709

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20160705

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20161005

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20161012

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20161108

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20161129

R150 Certificate of patent or registration of utility model

Ref document number: 6053779

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313117

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R360 Written notification for declining of transfer of rights

Free format text: JAPANESE INTERMEDIATE CODE: R360

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250