CN103782381B - 包括在衬底上的管芯以及在管芯上具有开窗的散热器的电子组件 - Google Patents

包括在衬底上的管芯以及在管芯上具有开窗的散热器的电子组件 Download PDF

Info

Publication number
CN103782381B
CN103782381B CN201280043911.1A CN201280043911A CN103782381B CN 103782381 B CN103782381 B CN 103782381B CN 201280043911 A CN201280043911 A CN 201280043911A CN 103782381 B CN103782381 B CN 103782381B
Authority
CN
China
Prior art keywords
tsv
die
electronic building
radiator
workpiece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201280043911.1A
Other languages
English (en)
Other versions
CN103782381A (zh
Inventor
S·横弥
M·R·西蒙斯马修斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of CN103782381A publication Critical patent/CN103782381A/zh
Application granted granted Critical
Publication of CN103782381B publication Critical patent/CN103782381B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/36Selection of materials, or shaping, to facilitate cooling or heating, e.g. heatsinks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/50Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor for integrated circuit devices, e.g. power bus, number of leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/06Structure, shape, material or disposition of the bonding areas prior to the connecting process of a plurality of bonding areas
    • H01L2224/061Disposition
    • H01L2224/0618Disposition being disposed on at least two different sides of the body, e.g. dual array
    • H01L2224/06181On opposite sides of the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06517Bump or bump-like direct electrical connections from device to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06548Conductive via connections through the substrate, container, or encapsulation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06572Auxiliary carrier between devices, the carrier having an electrical connection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06589Thermal management, e.g. cooling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/34Arrangements for cooling, heating, ventilating or temperature compensation ; Temperature sensing arrangements
    • H01L23/40Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs
    • H01L23/4006Mountings or securing means for detachable cooling or heating arrangements ; fixed by friction, plugs or springs with bolts or screws
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS

Abstract

一种电子组件(150)包括工件(110)、贯穿衬底通孔(TSV)管芯(120)、顶侧(121)和底侧(122)。TSV管芯(120)包括衬底(105)和多个TSV(115),在底侧(122)上面具有TSV连接件(113)。TSV管芯通过其顶侧位于工件上而附连到工件。散热器(130)在TSV管芯的底侧上具有内开窗(131)。键合特征件(161)耦合到TSV连接件或者包括TSV连接件自身。该键合特征件从内开窗伸至超过散热器的顶部(132)高度的高度使得顶部管芯可键合至此。

Description

包括在衬底上的管芯以及在管芯上具有开窗的散热器的电子 组件
技术领域
本发明公开的实施例涉及系统级封装(SIP)前体,其包括用于增强冷却的散热器,以及其中的堆叠管芯SIP。
背景技术
系统级封装(SIP)包括装配在单个封装或模块中的多个集成电路。SIP执行电子系统的所有功能或大部分功能,并且通常被使用在包括移动电话、个人数字助理(PDA)和数字音乐播放器的产品内部。在SIP中,单个IC可以垂直堆叠(3D布置)或者水平布置。IC可以通过键合到封装的细导线被内部连接。替换地,利用倒装芯片技术使用焊料凸起将堆叠管芯连接在一起。
一些SIP包括包含通孔或者更一般地说贯穿衬底通孔的管芯(在本文中指“TSV管芯”),例如逻辑/处理器管芯,其中TSV被布置为一个或更多TSV阵列,这些阵列提供穿过TSV管芯的全部厚度的垂直连接。TSV使得多个管芯垂直堆叠,并且将这些管芯互连而不使用常规引线键合或倒装焊接技术。例如,TSV可用于堆叠一系列存储器管芯并且可提供管芯之间的信号路径或热传递路径。
一些TSV包括从TSV管芯的底侧(非有源侧)伸出的凸出TSV末端。这种TSV管芯通常较薄,例如厚度为30μm到80μm。薄TSV管芯容易翘曲,可能导致与TSV的连接不牢固。为实现连续且牢固的连接,这种TSV管芯的平坦度在TSV管芯的全部区域上通常应当被保持在几微米之内。
在形成包括薄TSV管芯的SIP的一种工艺中,在将附连到其他管芯的管芯键合到衬底之前,薄TSV管芯可以被键合到另一管芯用于机械支撑。例如,可使用平坦的托架将单片TSV管芯附连到存储器管芯(或模块),接着将TSV管芯/存储器管芯附连到衬底,例如有机衬底。在另一SIP形成工艺中,TSV管芯被键合到衬底,接着将顶部管芯键合到TSV管芯。在任一情况下,衬底可包括球栅阵列(BGA),并且SIP可被附连到印刷电路板(PCB)。
这些已知的SIP工艺有若干缺陷。不能在附连顶部管芯(例如,存储器管芯)之前测试TSV管芯。因此,TSV管芯故障或者无法从TSV管芯连接到衬底可能导致产量损失,包括废弃管芯(例如,存储器管芯)的物料。终端用户也可以针对他们的系统要求不同的存储密度(4Gb、8Gb、16Gb等),这产生开发多SIP产品的需求。SIP供应商也需要购买存储器并保持存储设备的库存。
堆叠管芯SIP的另一个问题是在操作过程中的功率耗散造成的加热。最近,随着计算性能的提高,TSV管芯的功耗增加。如果未正确冷却并且没有充分提供冷却,则SIP中的单个IC(如在TSV管芯顶部的存储器管芯)会变得过热。管芯叠层中的单个IC之间的空间对于提供冷却通道也会较小,因为这些间隙一般太小不适于流体流动。散热器可附连在堆叠SIP中的顶部管芯(例如,存储器管芯)的顶部上,但是这样不是高效的冷却布置,因为顶部管芯的热电阻阻止TSV管芯的有效功率耗散,并且加热顶部管芯(例如,存储器管芯)将导致SIP系统的更高功耗。
发明内容
本发明公开的实施例描述电子组件,其包括:含有多个TSV的贯穿衬底通孔TSV(管芯);包括有源电路的顶侧;以及其上具有TSV连接件的底侧。TSV管芯通过其顶侧位于工件上且底侧面朝上而进行附连。具有内开窗(即,开孔)的散热器位于TSV管芯的底侧。键合特征件耦合到TSV连接件或者包括TSV连接件自身。键合特征件从内开窗伸至超过散热器顶部高度的高度,键合特征件使得顶部管芯(例如,存储器管芯)键合到TSV管芯。
组装顶部管芯从而形成系统级封装(SIP)时,散热器由此在TSV管芯和顶部管芯之间。与如上所述的常规的附连到顶部管芯的顶部上散热器相比,通过将散热器放置在TSV管芯和顶部管芯之间,更低的热电阻热传递路径导致冷却效率显著增加。散热器也可增加机械稳定性和刚度,有助于防止工件上一般较薄的TSV管芯(例如,40μm~80μm)发生翘曲。
在一个实施例中,TSV连接件包括凸出TSV末端。在该实施例中,键合特征件包括凸出TSV末端。在另一实施例中,键合特征件包括内插器,该内插器包括多个耦合到TSV连接件(例如通过TSV管芯底侧上的再分布(RDL)层耦合到TSV管芯上的TSV的焊盘)的TSV。
附图说明
图1A是根据示例实施例的示例电子组件的剖视图,其包括:工件;顶侧向下附连到工件的贯穿衬底通孔(TSV)管芯;在TSV管芯的底侧上具有内开窗的散热器;以及示为凸出TSV末端的键合特征件,其从开窗伸至超过散热器顶部高度的高度。
图1B是根据示例实施例的另一示例电子组件的剖视图,其包括:工件;顶侧向下附连到工件的TSV管芯;在TSV管芯的底侧上具有内开窗的散热器;以及示出为内插器的键合特征件,其包括从内开窗伸至超过散热器顶部高度的高度的多个TSV。
图2是根据示例实施例的堆叠管芯系统级封装(SIP)的剖视图,其包括图1B所示的电子组件,在该电子组件上具有顶部管芯,其中工件包括耦合到印刷电路板(PCB)的球栅阵列(BGA)。
图3A-C示出根据示例实施例按照示例装配流程中的连续步骤以形成图1B所示的多个电子组件的剖视图。
图4示出根据示例实施例的示例电子组件的剖视图,其包括散热器和工件之间的热界面材料,该热界面材料在底部填充材料的侧面。
图5和6示出根据示例实施例的一些提供增强功耗能力的堆叠管芯SIP的剖视图。
图7示出根据示例实施例的包括基于TSV的集成电容器的示例内插器的剖视图。
具体实施方式
图1A示出根据示例实施例的示例电子组件100,其包括工件110、顶侧121向下附连到工件110上的贯穿衬底通孔(TSV)管芯120。TSV管芯120包括衬底105、多个TSV 115,其中TSV 115包括凸出TSV末端115(a),凸出TSV末端115(a)包括由介电套管116框起的金属芯117,并且TSV管芯120的顶侧121包括例如包括晶体管的有源电路(未示出)。在实施例中,所示TSV连接件由凸出TSV末端115(a)提供,其也提供TSV管芯底侧122的键合特征件。当金属芯117包含少数寿命限制的金属例如铜时,通常将会在介电套管116上出现金属扩散阻挡层(例如,包括难熔金属),为简单起见,未示出该金属扩散阻挡层。此外,为简单起见,也未示出在TSV管芯120顶侧121和底侧122上的介电层。
工件110可以包括封装衬底,例如有机衬底。在一个实施例中,TSV管芯120的前侧121包括附连到工件110表面上的接触焊盘(contact pad)的焊料覆盖的金属支柱。具有内开窗(开孔)131的散热器130在TSV管芯120的底侧122上。可以看到凸出TSV末端115(a)从内开窗131伸至超过散热器130顶部132高度的高度,以便提供键合特征件。在散热器130和TSV管芯120之间以及散热器130和工件110之间提供底部填充。所示工件110在与TSV管芯120相对的一侧上包括球栅阵列(BGA)135。
散热器130通常包括金属或金属合金,例如铜或铜合金。用于散热器130的其他示例材料包括铝、铝/硅/铜、镍和钨。散热器130的非平面形状可通过多种不同的方法提供,例如通过冲压方法。使用各种方法包括基准系统可以将散热器130的开口窗131与凸出TSV末端115(a)的位置对齐,例如用于对齐键合或自对齐方案的倒装管芯的常规系统。
在该实施例中,散热器130充当电子组件100的骨干。为了最小化工件110对散热器130的机械应力,可以使用软质材料(如具有适当的热膨胀系数(CTE)的导热弹性体)作为底部填充材料140。
在一个实施例中,TSV末端115(a)的长度为6到30μm,并且TSV管芯120上方的散热器130的厚度小于TSV末端115(a)的长度2到5μm。尽管图1A中未示出,TSV末端115(a)可包括金属覆盖层(例如在一个特定实施例中的Ni/Au)从而抛光尖端。
图1B示出根据示例实施例的示例电子组件150,其包括工件110;TSV管芯120,其顶侧121向下附连到工件;散热器130,其在TSV管芯的底侧122上具有内开窗131;以及由内插器160提供的所示的键合特征件,其包括多个TSV 161,TSV 161包括从内开窗(术语与前面统一)131伸至高度超过散热器130的高度的TSV末端161(a)。在该实施例中,在TSV管芯120上的TSV 115未从TSV管芯120的底侧122伸出,并且在TSV管芯120底侧122上耦合到TSV连接件113的键合特征件包括内插器160。
TSV连接件113可以(例如,见图1A)从TSV管芯120的底侧122伸出,或者可以未从TSV管芯120的底侧122伸出。在一个特定实施例中,TSV管芯120的底侧122上的TSV连接件113可以包括通过重分布层耦合到未从TSV管芯120底侧122伸出的TSV 115的金属焊盘,这导致TSV连接件自TSV 115横向偏移。在一个实施例中,内插器160的厚度为250到400μm,散热器130的厚度接近内插器160的厚度,并且TSV末端161(a)延伸超过散热器130的顶部132的高度约5μm~10μm。
图2示出根据示例实施例的堆叠管芯系统级封装(SIP)200,其包括图1B示出的电子组件150,电子组件150在其上具有顶部管芯210,其中工件110包括耦合到印刷电路板(PCB)230的BGA 135。所示顶部管芯210包括可选的TSV 215,其使得另一管芯(未示出)附连到顶部管芯210。在顶部管芯210和散热器130/内插器160之间示出底部填充240。
图3A-C示出根据示例实施例的形成多个图1B所示电子组件150的示例装配方法中的连续步骤。图3A示出TSV管芯120附连到被示为封装衬底的工件110,例如附连到封装衬底板(或条)。可以使用热压(TC)键合进行附连。图3B示出在如下步骤之后的中间结构:将包括TSV 161的内插器160附连到在TSV管芯120底侧122上的TSV连接件113,并且在内插器160侧面的TSV管芯120底侧122上分配底部填充材料140。
图3C示出在如下步骤之后的中间结构:在图3B所示的中间结构在固化工具中进行固化以便流动和固化底部填充材料140之后,将上面附连有可选保护片191的散热器130附连在图3B所示的中间结构上的内插器160上。用于固化底部填充材料140的典型示例条件为150℃持续1小时。整个中间组件可以被插入在上方和下方工具之间并且可被挤压并固化。组件的平坦度和高度由工具控制并且任何过量的底部填充材料140可以从散热器130的一侧流出从而产生圆角。保护片191可以包括例如薄膜辅助模塑中使用的聚合物片,其可以防止在TSV管芯120和散热器130之间的底部填充材料140从开窗131溢出。
接着可以进行球附连从而在工件110上形成BGA 135。可以分割工件110,以便提供多个图1B所示的电子组件150。在移除保护片191之后,可以使用如下测试电子组件150:将内插器160的TSV末端161(a)电接触电子组件(耦合到TSV连接件113,而TSV连接件113在TSV管芯120底侧122上耦合到TSV 115)的一侧并使用BGA 135电接触电子组件150(耦合到TSV管芯120的顶侧121)的另一侧。
顶部管芯在分割后可被组装到所公开的电子组件,这些电子组件包括图1A所示的电子组件100或者图1B所示的电子组件150,从而形成堆叠SIP。在一个SIP流程中,保护片191被移除,然后将底部填充材料分配在散热器130上。然后,顶部管芯210(见以下图5所述),例如存储器(模块或管芯)可被连接到内插器160或连接到从TSV管芯120伸出的TSV末端115(a)。
在一些其他实施例中,使用具有比底部填充材料140相对高的导热性的热界面材料将散热器130附连到工件110。由于其应用在电接触区,所以底部填充材料140是电介质。另一方面,为了横向附连散热器130,通常需要较高导热性。例如,可使用例如陶瓷填料的热界面材料(TIM)。
图4示出示例电子组件400,其包括散热器130和工件110之间的TIM 417例如陶瓷填料,TIM在底部填充材料140的侧面。该实施例增加了附加步骤,但是提供了更加灵活的粘结材料性能选择从而改进了散热。
图5和6分别示出一些提供增强功率耗散能力的示例堆叠管芯SIP500和SIP 600的剖视图,图5中示出的散热器130具有朝着PCB 230的顶表面延伸的垂直部分130(a),使用导电材料511例如焊料或导电粘合剂将该垂直部分130(a)附连到PCB 230的顶表面,并且该垂直部分130(a)通过PCB通孔231接地至PCB 230的GND平面232。该实施例提供改进的电磁干扰(EMI)屏蔽和提高的功率耗散。图6所示的SIP 600被示为具有附连的外部吸热装置610。
图7示出根据示例实施例的示例内插器700,其包括衬底705和多个基于TSV的集成电容器701。内插器700通常也包括用于连接到前述器件和下文所述的内插器700(为简单起见未示出)的TSV。内插器700的顶表面721和底表面都具有各自的GND平面706和707。基于TSV的电容器701的TSV芯金属712通过薄介电套管709与衬底705(例如,硅)并且由此与GND平面706和707电隔离,薄介电套管709可以是例如热氧化硅或高k(k值至少为10)电介质,根据应用(和电压使用范围),薄介电套管709的厚度可以薄至5nm的等效氧化层厚度(EOT)。利用图7所示的内插器结构,在TSV芯712和衬底705之间建立具有高电容值的基于TSV的集成电容器是可能的。
通过将基于TSV的电容器集成在所公开的内插器中,显著提高信号/电源完整性是可能的。将内插器700的GND平面706或707连接到散热器并且将该实施例与其他公开的实施例(例如图4B或图5所示的实施例)组合也是可能的。
形成在有源半导体管芯上的有源电路包括电路元件以及信号线和互连各电路元件的其他电导体,其中电路元件通常可包括晶体管、二极管、电容器和电阻器。公开的实施例可集成到各种工艺流程中,从而形成各种器件和相关产品。半导体衬底可以包括其中的各个元件和/或其上的各层。这些可包括阻挡层、其他介电层、器件结构、(包括源极区、漏极区、位线、基极、发射极、集电极、导电线,导电通孔等的)有源元件和无源元件。另外,公开的实施例可用在各种半导体器件制作工艺(包括双极型、CMOS、BiCMOS和MEMS工艺)中。
本发明涉及领域的技术人员将理解可以对所描述的实施例进行修改,并且在本发明要求保护的权利要求范围内,许多其他实施例是可能的。

Claims (20)

1.一种电子组件,其包括:
工件;
贯穿衬底通孔管芯即TSV管芯,其包括衬底、多个TSV、顶侧和其上具有TSV连接件的底侧,其中所述TSV管芯通过所述顶侧位于所述工件上而附连到所述工件;
散热器,其在所述TSV管芯的所述底侧上具有内开窗;以及
键合特征件,其耦合到所述TSV连接件或者包括所述TSV连接件,其中所述键合特征件从所述内开窗伸至一高度,该高度超过所述散热器顶部高度。
2.根据权利要求1所述的电子组件,其中所述TSV连接件包括凸出TSV末端,并且其中所述键合特征件包括所述TSV连接件。
3.根据权利要求1所述的电子组件,其中所述键合特征件包括含有多个TSV的内插器。
4.根据权利要求3所述的电子组件,其中所述内插器包括至少一个基于TSV的集成TSV电容器。
5.根据权利要求1所述的电子组件,进一步包括在所述散热器上的顶部管芯,其中所述顶部管芯耦合到所述键合特征件。
6.根据权利要求5所述的电子组件,进一步包括至少覆盖所述顶部管芯和所述散热器的外部吸热装置,其中所述外部吸热装置通过热界面材料热耦合至所述工件。
7.根据权利要求5所述的电子组件,其中所述散热器包括朝着所述工件的顶表面延伸的垂直部分,并且其中所述垂直部分通过导电材料附连到所述工件的所述顶表面,并且所述垂直部分通过工件通孔接地至所述工件中的接地面。
8.根据权利要求1所述的电子组件,进一步包括第一底部填充材料和第二底部填充材料,其中所述第一底部填充材料在所述散热器和所述TSV管芯的所述底侧之间,所述第二底部填充材料在所述散热器和位于所述TSV管芯的侧面的所述工件之间,所述第二底部填充材料具有比所述第一底部填充材料的导热系数更大的导热系数。
9.根据权利要求1所述的电子组件,其中所述TSV连接件未从所述底侧伸出。
10.根据权利要求1所述的电子组件,其中所述工件包括有机衬底,所述有机衬底在与所述TSV管芯相对的一侧上具有球栅阵列即BGA。
11.根据权利要求1所述的电子组件,进一步包括在所述散热器上的可移除保护片。
12.一种电子组件,其包括:
工件;
贯穿衬底通孔管芯即TSV管芯,其包括衬底、多个TSV、顶侧和其上具有TSV连接件的底侧,其中所述TSV管芯通过所述顶侧位于所述工件上而附连到所述工件;
散热器,其在所述TSV管芯的所述底侧上具有内开窗,以及
内插器,其在所述开窗中包括多个TSV,所述TSV耦合到所述TSV管芯的所述底侧上的所述TSV连接件。
13.根据权利要求12所述的电子组件,其中所述内插器包括至少一个基于TSV的集成电容器。
14.根据权利要求12所述的电子组件,进一步在所述散热器上包括顶部管芯,其中所述顶部管芯耦合到所述内插器的所述多个TSV。
15.一种形成电子组件的方法,包括:
将贯穿衬底通孔管芯即TSV管芯通过顶侧向下而附连到工件上,所述TSV管芯包括衬底、多个TSV、顶侧和其上具有TSV连接件的底侧,以及
将具有内开窗的散热器附连在所述TSV管芯的所述底侧上,其中耦合到所述TSV连接件或者包括所述TSV连接件的键合特征件从所述内开窗伸出至一高度,该高度超过所述散热器的顶部高度。
16.根据权利要求15所述的形成电子组件的方法,其中所述TSV连接件包括凸出TSV末端,并且其中所述键合特征件包括所述TSV连接件。
17.根据权利要求15所述的形成电子组件的方法,进一步包括:
将内插器附连到所述TSV管芯的底侧,其中所述内插器包括多个TSV,所述多个TSV包括凸出TSV末端,所述多个TSV耦合到所述TSV管芯的所述TSV连接件,以及
将底部填充材料分配在所述内插器的侧面以及在所述TSV管芯的所述底侧上。
18.根据权利要求17所述的形成电子组件的方法,其中所述内插器包括至少一个基于TSV的集成电容器。
19.根据权利要求15所述的形成电子组件的方法,进一步包括将顶部管芯附连在所述散热器上,其中所述顶部管芯耦合到所述键合特征件。
20.根据权利要求15所述的形成电子组件的方法,其中所述工件包括有机衬底,所述有机衬底在与所述TSV管芯相对的一侧具有球栅阵列即BGA。
CN201280043911.1A 2011-07-11 2012-07-11 包括在衬底上的管芯以及在管芯上具有开窗的散热器的电子组件 Active CN103782381B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/180,085 2011-07-11
US13/180,085 US8526186B2 (en) 2011-07-11 2011-07-11 Electronic assembly including die on substrate with heat spreader having an open window on the die
PCT/US2012/046229 WO2013009853A2 (en) 2011-07-11 2012-07-11 Electronic assembly including die on substrate with heat spreader having an open window on the die

Publications (2)

Publication Number Publication Date
CN103782381A CN103782381A (zh) 2014-05-07
CN103782381B true CN103782381B (zh) 2016-11-09

Family

ID=47506890

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201280043911.1A Active CN103782381B (zh) 2011-07-11 2012-07-11 包括在衬底上的管芯以及在管芯上具有开窗的散热器的电子组件

Country Status (4)

Country Link
US (1) US8526186B2 (zh)
JP (1) JP6053779B2 (zh)
CN (1) CN103782381B (zh)
WO (1) WO2013009853A2 (zh)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9721868B2 (en) * 2009-07-30 2017-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Three dimensional integrated circuit (3DIC) having a thermally enhanced heat spreader embedded in a substrate
US10181454B2 (en) * 2010-03-03 2019-01-15 Ati Technologies Ulc Dummy TSV to improve process uniformity and heat dissipation
US9040349B2 (en) * 2012-11-15 2015-05-26 Amkor Technology, Inc. Method and system for a semiconductor device package with a die to interposer wafer first bond
US9349616B2 (en) 2013-03-13 2016-05-24 Stats Chippac, Ltd. Semiconductor device and method of forming WLCSP with semiconductor die embedded within interconnect structure
JP6196815B2 (ja) * 2013-06-05 2017-09-13 新光電気工業株式会社 冷却装置及び半導体装置
US9583415B2 (en) * 2013-08-02 2017-02-28 Taiwan Semiconductor Manufacturing Company, Ltd. Packages with thermal interface material on the sidewalls of stacked dies
US9082743B2 (en) 2013-08-02 2015-07-14 Taiwan Semiconductor Manufacturing Company, Ltd. 3DIC packages with heat dissipation structures
US9252054B2 (en) 2013-09-13 2016-02-02 Industrial Technology Research Institute Thinned integrated circuit device and manufacturing process for the same
US9721852B2 (en) * 2014-01-21 2017-08-01 International Business Machines Corporation Semiconductor TSV device package to which other semiconductor device package can be later attached
WO2015116130A1 (en) * 2014-01-31 2015-08-06 Hewlett-Packard Development Company, L.P. Interposer
US10020236B2 (en) 2014-03-14 2018-07-10 Taiwan Semiconductar Manufacturing Campany Dam for three-dimensional integrated circuit
US9691746B2 (en) 2014-07-14 2017-06-27 Micron Technology, Inc. Methods of manufacturing stacked semiconductor die assemblies with high efficiency thermal paths
US9443744B2 (en) * 2014-07-14 2016-09-13 Micron Technology, Inc. Stacked semiconductor die assemblies with high efficiency thermal paths and associated methods
US9337119B2 (en) 2014-07-14 2016-05-10 Micron Technology, Inc. Stacked semiconductor die assemblies with high efficiency thermal paths and associated systems
US9368566B2 (en) 2014-07-17 2016-06-14 Qualcomm Incorporated Package on package (PoP) integrated device comprising a capacitor in a substrate
JP2016029681A (ja) * 2014-07-25 2016-03-03 イビデン株式会社 多層配線板及びその製造方法
CN104269386A (zh) * 2014-08-28 2015-01-07 西安电子科技大学 一种多芯片封装粘结层导热齿结构
KR102237978B1 (ko) 2014-09-11 2021-04-09 삼성전자주식회사 반도체 패키지 및 그 제조방법
JP6473595B2 (ja) 2014-10-10 2019-02-20 イビデン株式会社 多層配線板及びその製造方法
US10541229B2 (en) * 2015-02-19 2020-01-21 Micron Technology, Inc. Apparatuses and methods for semiconductor die heat dissipation
US9807285B2 (en) * 2015-03-25 2017-10-31 Intel Corporation Apparatus, method and techniques for dissipating thermal energy
JP6424715B2 (ja) 2015-04-01 2018-11-21 富士通株式会社 半導体装置、及び、半導体装置の電圧設定方法
JPWO2016162991A1 (ja) * 2015-04-08 2017-08-24 三菱電機株式会社 半導体装置および半導体装置の製造方法
WO2016174899A1 (ja) * 2015-04-27 2016-11-03 富士電機株式会社 半導体装置
US9645619B2 (en) * 2015-05-29 2017-05-09 Corsair Memory, Inc. Micro heat pipe cooling system
CN111148403B (zh) * 2015-06-04 2021-10-15 华为技术有限公司 移动终端及散热屏蔽结构
US10347558B2 (en) * 2015-08-31 2019-07-09 Intel IP Corporation Low thermal resistance hanging die package
DE112015007145T5 (de) * 2015-11-25 2018-08-30 Mitsubishi Electric Corporation Halbleitervorrichtung, Invertervorrichtung und Automobil
US10098220B2 (en) * 2015-12-24 2018-10-09 Intel Corporation Electronic device heat transfer system and related methods
US20190045666A1 (en) * 2015-12-24 2019-02-07 Intel Corporation Electronic device heat transfer system and related methods
US9913361B2 (en) 2016-01-06 2018-03-06 International Business Machines Corporation Integrated circuit device assembly
US10475750B2 (en) * 2016-04-02 2019-11-12 Intel Corporation Systems, methods, and apparatuses for implementing an organic stiffener with an EMI shield for RF integration
TWI584720B (zh) * 2016-06-15 2017-05-21 瑞昱半導體股份有限公司 電子裝置及其散熱及電磁屏蔽結構
US10197623B2 (en) * 2016-09-15 2019-02-05 Texas Instruments Incorporated Heatable interposer for temperature-controlled testing of semiconductor devices
WO2018063196A1 (en) * 2016-09-28 2018-04-05 Intel IP Corporation Systems, methods, and apparatuses for implementing reduced height semiconductor packages for mobile electronics
US11276667B2 (en) * 2016-12-31 2022-03-15 Intel Corporation Heat removal between top and bottom die interface
US10424527B2 (en) * 2017-11-14 2019-09-24 International Business Machines Corporation Electronic package with tapered pedestal
US10825696B2 (en) 2018-07-02 2020-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Cross-wafer RDLs in constructed wafers
US10541156B1 (en) * 2018-10-31 2020-01-21 International Business Machines Corporation Multi integrated circuit chip carrier package
US11004758B2 (en) * 2019-06-17 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit package and method
CN110808233A (zh) * 2019-12-12 2020-02-18 上海先方半导体有限公司 一种用于系统散热的封装结构及其封装工艺
US11967538B2 (en) * 2021-04-09 2024-04-23 Google Llc Three dimensional IC package with thermal enhancement
CN113241331B (zh) * 2021-04-22 2022-11-15 中国电子科技集团公司第二十九研究所 基于阵列散热的三维集成结构及其制备方法和分析方法

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101916757A (zh) * 2010-07-23 2010-12-15 广东昭信光电科技有限公司 一种微流体冷却的硅晶圆片级led照明系统

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3690729B2 (ja) * 2000-09-11 2005-08-31 インターナショナル・ビジネス・マシーンズ・コーポレーション 電気回路装置及びコンピュータ
JP4463178B2 (ja) * 2005-09-30 2010-05-12 Okiセミコンダクタ株式会社 半導体装置及びその製造方法
US7592697B2 (en) * 2007-08-27 2009-09-22 Intel Corporation Microelectronic package and method of cooling same
JP2009246258A (ja) * 2008-03-31 2009-10-22 Nikon Corp 半導体装置および製造方法
US7960840B2 (en) 2008-05-12 2011-06-14 Texas Instruments Incorporated Double wafer carrier process for creating integrated circuit die with through-silicon vias and micro-electro-mechanical systems protected by a hermetic cavity created at the wafer level
DE102008048420A1 (de) * 2008-06-27 2010-01-28 Qimonda Ag Chip-Anordnung und Verfahren zum Herstellen einer Chip-Anordnung
US8263497B2 (en) 2009-01-13 2012-09-11 International Business Machines Corporation High-yield method of exposing and contacting through-silicon vias
US8314483B2 (en) * 2009-01-26 2012-11-20 Taiwan Semiconductor Manufacturing Company, Ltd. On-chip heat spreader
US8604603B2 (en) 2009-02-20 2013-12-10 The Hong Kong University Of Science And Technology Apparatus having thermal-enhanced and cost-effective 3D IC integration structure with through silicon via interposers
US8344512B2 (en) 2009-08-20 2013-01-01 International Business Machines Corporation Three-dimensional silicon interposer for low voltage low power systems
US8143704B2 (en) 2009-10-02 2012-03-27 Texas Instruments Incorporated Electronic assemblies including mechanically secured protruding bonding conductor joints
US8390009B2 (en) * 2010-02-16 2013-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitting diode (LED) package systems
US8183578B2 (en) * 2010-03-02 2012-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Double flip-chip LED package components

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101916757A (zh) * 2010-07-23 2010-12-15 广东昭信光电科技有限公司 一种微流体冷却的硅晶圆片级led照明系统

Also Published As

Publication number Publication date
US20130016477A1 (en) 2013-01-17
JP6053779B2 (ja) 2016-12-27
CN103782381A (zh) 2014-05-07
WO2013009853A2 (en) 2013-01-17
JP2014523141A (ja) 2014-09-08
WO2013009853A3 (en) 2013-04-04
US8526186B2 (en) 2013-09-03

Similar Documents

Publication Publication Date Title
CN103782381B (zh) 包括在衬底上的管芯以及在管芯上具有开窗的散热器的电子组件
US11133237B2 (en) Package with embedded heat dissipation features
JP5190122B2 (ja) 熱拡散抵抗を低減した半導体アセンブリおよびその製造方法
CN104716109B (zh) 具有降低热串扰的热管理部件的封装件及其形成方法
US6229216B1 (en) Silicon interposer and multi-chip-module (MCM) with through substrate vias
US9076754B2 (en) 3DIC packages with heat sinks attached to heat dissipating rings
TWI467726B (zh) 堆疊封裝結構
CN104637908B (zh) 半导体封装件和制造半导体封装件的方法
KR101209980B1 (ko) 반도체 패키지 및 그 제조 방법
TWI713174B (zh) 包含散熱器的半導體封裝及其製造方法
US9240394B1 (en) Stacked chips attached to heat sink having bonding pads
CN107452707A (zh) 含热、电性能改善的再分布结构的芯片载体及半导体器件
US20150340349A1 (en) Package on Package Structure
KR100855790B1 (ko) 마이크로전자 장치 및 이를 제조하는 방법
TW201442203A (zh) 層疊封裝結構
JP2016063178A (ja) 半導体装置及びその製造方法
US6710443B1 (en) Integrated circuit providing thermally conductive structures substantially horizontally coupled to one another within one or more heat dissipation layers to dissipate heat from a heat generating structure
US7327028B2 (en) Embedded heat spreader for folded stacked chip-scale package
CN103050455A (zh) 堆叠封装结构
KR101266520B1 (ko) 반도체 패키지
US10679919B2 (en) High thermal release interposer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant