JP2011519487A - 半導体デバイス内でのビアパターニングにおける金属キャップ層の侵食を低減する方法 - Google Patents

半導体デバイス内でのビアパターニングにおける金属キャップ層の侵食を低減する方法 Download PDF

Info

Publication number
JP2011519487A
JP2011519487A JP2011507443A JP2011507443A JP2011519487A JP 2011519487 A JP2011519487 A JP 2011519487A JP 2011507443 A JP2011507443 A JP 2011507443A JP 2011507443 A JP2011507443 A JP 2011507443A JP 2011519487 A JP2011519487 A JP 2011519487A
Authority
JP
Japan
Prior art keywords
layer
cap layer
conductive cap
semiconductor device
metal region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2011507443A
Other languages
English (en)
Other versions
JP2011519487A5 (ja
Inventor
バルチュ クリスティン
フィッシャー ダニエル
シャーラー マティアス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority claimed from PCT/US2009/002631 external-priority patent/WO2009134386A1/en
Publication of JP2011519487A publication Critical patent/JP2011519487A/ja
Publication of JP2011519487A5 publication Critical patent/JP2011519487A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76805Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics the opening being a via or contact hole penetrating the underlying conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【解決手段】
半導体デバイスの高性能な金属化システムにおいてビア開口をパターニングする間、開口(221A)が導電性キャップ層(213)を通って延び、適切なイオン衝撃が確立されて下層の金属領域(212)の材質が導電性キャップ層(213)の露出した側壁部分へ再分配され、それにより保護材質(212P)が確立される。その結果、後続のウエット化学的エッチング処理(215)において、導電性キャップ層(213)の過度な材質除去の可能性を大幅に低減することができる。
【選択図】図2d

Description

概して、本開示は高度な集積回路のような微細構造の形成に関し、より特定的には銅系金属化層(copper-based metallization layers)等の導電性構造の形成、及び動作中のエレクトロマイグレーションを低減する技術に関する。
集積回路等の近年における微細構造の製造においては、微細構造要素の形状寸法を着実に減少させることによってこれらの構造の機能を高めることが継続的に推進されている。例えば、最近の集積回路にあっては、電界効果トランジスタのチャネル長のような最小形状寸法はディープサブミクロンの範囲に達しており、それにより速度及び/又は電力消費に関するそれらの回路の性能が高まっている。新しい回路の世代ごとに個々の回路要素の寸法が減少して例えばトランジスタ要素のスイッチング速度が向上するにつれて、個々の回路要素を電気的に接続する相互接続線のための利用可能なフロアスペースもまた減少する。その結果、これらの相互接続線の寸法もまた、利用可能なフロアスペース量の減少及び単位ダイ面積あたりに設けられる回路要素の数の増大を補償するために減少させられることとなり、その理由は、必要とされる相互接続の数が典型的には回路要素の数よりも急速に増大する点にある。それ故に、金属化層とも称される複数の積み重ねられた「配線」層が一般的には設けられ、一つの金属化層の個々の金属線はその上層又は下層の金属化層の個々の金属線にいわゆるビア(vias)によって接続される。複数の金属化層を設けているにもかかわらず、相互接続線の減少させられた寸法は、例えば最新のCPU、メモリチップ、ASIC(特定用途向けIC)等の著しい複雑さに適合する必要がある。相互接続構造の断面積の減少は、場合によっては極度に縮小化されたトランジスタ要素の静的な電力消費の増大とあいまって、金属線内におけるかなりの電流密度をもたらす可能性があり、この電流密度は新しいデバイス世代ごとに更に増えるであろう。
従って、0.05μmあるいはそれより小さい限界寸法を有するトランジスタ要素を含む高度な集積回路は、比較的に多数の金属化層を設けているにもかかわらず、単位面積あたりのかなりの数の回路要素に起因して、個々の相互接続構造内でcmあたり最大で数kAの著しく増大した電流密度で典型的には動作することとなろう。しかし、高い電流密度で相互接続構造を動作させると、応力誘起の配線劣化に関連する複数の問題が引き起こされ、最終的には集積回路の早期故障につながることがある。これに関して顕著な現象は、「エレクトロマイグレーション(electromigration)」とも称される金属線及びビア内での電流誘起の物質移行(current-induced mass transport)である。エレクトロマイグレーションはイオンコアへの電子の運動量移動(momentum transfer)に起因し、電子流の方向における正味の運動量をもたらす。特に高電流密度においては、相互接続金属内で原子の顕著な集合的な運動あるいは方向性を持った拡散が生じることがあり、それぞれの拡散経路の存在が、運動量移動に起因する物質の置換量に少なからぬ影響を及ぼすであろう。このように、エレクトロマイグレーションは金属相互接続内でのボイドの形成及び金属相互接続に隣接するヒロック(hillock)の形成をもたらし、それによりデバイスの性能及び信頼性が低下しあるいはデバイスが完全に故障する。例えば、二酸化シリコン及び/又は窒化シリコンに埋め込まれたアルミニウム線が金属化層の金属としてよく用いられ、そこでは、上述したように0.1μm以下の極限寸法を有する高度な集積回路は、大幅に減少した断面積の金属線及びそれに伴う増大した電流密度を必要とするであろうから、金属化層の形成に対してアルミニウムはそれほど魅力的ではないかもしれない。
このため、アルミニウムは銅及び銅合金に代替されてきており、これらはアルミニウムと比較して、著しく高い電流密度においても極めて低い電気抵抗率及びエレクトロマイグレーションに対する改善された耐性を有する材料である。微細構造及び集積回路の製造に銅を導入することは、複雑な金属化層における寄生容量を低減するために典型的に銅と組み合わせて用いられる幾つかの低k誘電性材質及び二酸化シリコンに拡散し易いという銅の特性に帰属する複数の深刻な問題を伴う。必要な密着性を与え且つ敏感なデバイス領域への銅原子の不所望な拡散を避けるために、バリア層を銅と銅系相互接続構造が埋め込まれた誘電性材質との間に設けることが通常は必要とされる。窒化シリコンは銅原子の拡散を効果的に防ぐ誘電性材質ではあるが、窒化シリコンは適度に高い誘電率を示しそれにより近隣の銅線の寄生容量を増大させて許容し得ない信号伝搬遅延をもたらす可能性があるので、層間誘電性材質として窒化シリコンを選択することは望ましいとは言えない。それ故に、必要な機械的安定性をも銅に与える薄い導電性のバリア層が通常は形成されてバルク銅を周囲の誘電性材質から分離し、それにより銅の誘電性材質中への拡散を低減するとともに、望まれていない種、例えば酸素、フッ素等の銅中への拡散を低減している。また、導電性のバリア層は銅との間の高度に安定な界面を提供し、それにより界面での顕著な物質移行の確率を低減することができる。界面は典型的には増大した拡散経路を考慮すると重要な領域である。現在のところ、タンタル、チタン、タングステン、及びそれらの窒素及びシリコンとの化合物等が導電性のバリア層のための望ましい候補であり、バリア層は、拡散の抑制及び密着特性に関する要求を満たすように異なる組成の2以上の副層を備えていてよい。
銅をアルミニウムとは有意に異ならせている他の特徴は、銅は異方性ドライエッチング処理により効果的にパターニングすることができず一般にダマシン又は象眼技術(damascene or inlaid technique)と称される処理戦略(process strategy)を必要とするという事実に加えて、銅は化学的及び物理的気相堆積技術によっては容易には大量に堆積させることができないという事実である。ダマシンプロセスにおいては先ず誘電層が形成され、この誘電層は次いで、後に銅で充填される溝(trench)及び/又はビアを含むようにパターニングされ、ここでは前述したように銅で充填するのに先立ち導電性のバリア層が溝及びビアの側壁に形成される。溝及びビアへのバルク銅材料の堆積は、通常はウエット化学的堆積処理、例えば電気メッキや無電解メッキによって達成され、従ってアスペクト比が5以上で直径が0.3μmあるいはそれ未満のビアを、0.1μm乃至数μmの範囲の幅を有する溝とともに確実に充填することが求められる。銅に対する電気化学的堆積処理は、電子回路基板製造の分野では十分に確立されている。しかし、高アスペクト比のビアをボイドフリー充填することは極めて複雑で困難な作業であり、最終的に得られる銅系相互接続構造の特性は、処理パラメータ、材料及び対象となる構造の形状に大きく依存する。相互接続構造の形状は設計要件によって実質的に決定され、従って与えられた微細構造に対して大きくは変更されないであろうから、銅微細構造の導電性及び非導電性バリア層等の材料の影響並びに相互接続構造の特性に対するそれらの相互作用を推測及び制御して、高い歩留まりと要求される製品の信頼性の両方を保証することが極めて重要である。特に、種々の構成のための相互接続構造における劣化及び故障のメカニズムを識別し、観察し、そして低減して、全ての新しいデバイス世代あるいは技術ノードに対するデバイスの信頼性を維持することが重要である。
従って、特に比誘電率が3.1又はそれ未満の低k誘電性材質との組み合わせにおいて銅相互接続の劣化を調査して、低い全体の誘電率を伴う銅系線及びビアを形成するための新たな材料及び処理戦略を見出すために、多大な努力がなされてきた。銅線中のエレクトロマイグレーションの正確なメカニズムは未だ完全には理解されていないが、側壁内及び側壁上並びに特に隣接する材料との界面に位置するボイドが最終的に達成される性能及び信頼性に著しい影響を与えているらしいことが判明している。
早期デバイス故障に著しく寄与すると信じられている1つの故障メカニズムは、特に銅と誘電体キャップ層の間に形成される界面に沿ったエレクトロマイグレーション誘起の物質移動であり、誘電体キャップ層は溝及びビアを銅材料で充填した後に設けられてよく、その側壁は導電性バリア材料で被覆されていてよい。銅の完全性を維持することに加えて、誘電体キャップ層は、層間誘電体内のビア開口の形成の間にエッチング停止層として通常は機能する。しばしば用いられる材料は、例えば窒化シリコン及び炭化窒化シリコンであり、これらは典型的に使用される層間誘電体、例えば幾つかの低k誘電性材質に対して適度に高いエッチング選択性を示し、また層間誘電体への銅の拡散を抑制する。しかし、最新の研究結果は、銅と誘電体キャップ層の間に形成される界面が金属相互接続の動作の間における物質移行のための主要な拡散経路であることを示しているようである。
それ故、確実に銅を閉じ込めてその完全性を維持する能力を有する銅とキャップ層の間の界面特性を高める試みにおいて幾つかの代替案が開発されてきている。例えば、対応する金属線の全体の抵抗を過度に減少させない一方で優れたエレクトロマイグレーション性能を示すことができる導電性材料を銅含有領域の上端に選択的に設けることが提案されている。実例としては、コバルト/タングステン/リン(CoWP)の化合物が、対応する金属線内でのエレクトロマイグレーション効果を著しく減少させることのできる導電性キャップ層の有望な候補として実証されている。
コバルト/タングステン/リンの化合物は優れたエレクトロマイグレーション性能を提供し且つ複雑な金属化システムを製造するための全体的な処理の流れに効果的に組み合わせることができるが、この化合物は選択的な電気化学的堆積レシピに基いて容易に堆積させられ得るので、コバルト/タングステン/リンのキャップ層が形成された金属領域と接続するビアのパターニングの間に深刻な欠陥が観察されることがあると判明しており、図1a及び1bを参照してこれを更に詳しく説明する。
図1aは高度な製造段階での、即ち金属化システムを形成する製造シーケンスの間の半導体デバイス100の断面図を模式的に示している。半導体デバイス100はデバイス100の特定の回路構成に従う回路要素(図示せず)を含む基板101を備えている。半導体デバイス100は第1の金属化レベル110及び第2の金属化レベル120を更に備えていてよい。前述したように金属化レベル110は例えば低k誘電性材質の形態にある誘電性材質111を備えていてよく、
誘電性材質111内には例えば窒化タンタル、タンタル等の形態にあるバリア層112Aと組み合わされた銅から構成される金属線112が形成されている。また、金属領域112の上面112Sには、三元合金コバルト/タングステン/リン(CoWP)から構成される導電性キャップ層113が形成されている。更に、例えば二酸化シリコン、炭化シリコン、窒素含有炭化シリコン等の形態にあるエッチング停止層114が、誘電性材質111の上方で且つ金属線112の一部の上方に導電性キャップ層113と接触して形成されている。更なる金属化層120は、図示された製造段階では、任意の適切な組成の誘電性材質121を備えており、誘電性材質121内にはビア開口121Aが形成されている。単一のダマシン戦略が考えられている場合には、誘電性材質121は層120のための誘電体層スタックの下部となってよく、この場合、溝(図示せず)は誘電体層121の上部に形成されてよい。
図1aに図示される半導体デバイス100は、回路要素(図示せず)の形成を含む確立された処理技術であって、その上方に層110、120等の複数の金属化層が形成されてよい適切な接触構造の製造がそれに続く処理技術に基いて形成されてよい。このため、誘電性材質111が例えば化学的気相堆積(CVD)によって堆積させられてよく、続いて層110内の適切な溝を、場合によっては全体の処理戦略に応じてそれぞれのビア開口と組み合わせて形成するためのパターニングシーケンスが行われる。ビア開口を形成するためのそれぞれのエッチング処理が、ビア開口121Aを参照して論じられる。次いで、バリア層112Aが形成された後、例えば電気メッキにより銅材質が充填されてよく、電気メッキでは場合によっては適切な種層の堆積が必要になるかもしれない。その後、例えば電気化学的エッチング技術、化学的機械研磨(CMP)等によって銅及びバリア層112Aの過剰な材料が除去されてよい。続いて、露出された表面112Sは導電性キャップ層113を堆積させることによって「不動態化」されてよく、それにより前述したような優れたエレクトロマイグレーション性能を考慮した所望の強力な界面が表面112Sに提供される。CoWP合金の堆積は無電解メッキによって達成されてよく、無電解メッキに際して露出した表面112Sは適切な電解質溶液にさらされたときに電気化学的反応を開始させるための触媒材質として機能してよい。このように、堆積は露出した表面112Sに実質的に制限されるので、自己整合(self-aligned)の堆積メカニズムが得られる。例えば10乃至50μm程度の厚みを堆積した後、誘電性エッチング停止層114が例えばCVDによって堆積させられてよく、誘電性材質121の堆積がそれに続く。次いで、誘電性エッチング停止層114内で下方に延在するビア開口121Aを最終的にはもたらす複雑なパターニングシーケンスが実行されてよく、ビア開口121Aは最終的には確立されたエッチングレシピに基いて開口させられる。
よく知られているように、複雑なプラズマ支援エッチング処理の間、複数のエッチング副生成物が生じ、そられのうちの少なくとも幾つかもまた露出した表面領域に堆積させられてしまうので、後続する導電性バリア材質等の材質の開口121A内への堆積に先立ちそれらは除去されなければならないであろう。従って、それぞれのウエット化学的エッチングレシピ115、例えば希釈されたフッ化水素酸、アンモニア過酸化物混合物等が適用されてよく、これらはデバイス100の更なる処理に先立ち露出された表面部分を調整するための効果的なレシピであることが立証されている。その結果、処理115の間、導電性キャップ層113の露出した部分がウエット化学的エッチング液に接触するのであるが、過度な材質除去がもたらされて、それによりキャップ層113の露出した部分が実質的に完全に除去されると共にビア開口121Aに隣接して著しいアンダーエッチング領域が生成されることがある。
図1bはウエット化学的クリーニング処理115の後の半導体デバイス100を模式的に示している。図示されるように、著しいアンダーエッチング113Aが生じることがあり、それにより更なる処理の間に処理の不均一性をもたらすボイドがそれぞれ金属化システム120の層スタック内に生成され、ビアをバリア材質及び銅で充填した後のビア全体の性能も劣化する。このため、例えば、コバルト/タングステン/リン合金に実質的に影響を及ぼすことなしに、プラズマ支援エッチング処理の後の構造を効果的にクリーニングするためのウエット化学的エッチング化学を探すことにより、アンダーエッチング領域113Aの生成を実質的に回避するための多大なる努力がなされてきた。しかし、それぞれのウエット化学的エッチング化学は悩ましいほどに効率が低いであろう。他のアプローチの中では、アンダーエッチング領域113AにおいてCoWP合金を形成するための更なる堆積処理が用いられるかもしれないが、更なるウエット化学的堆積ステップに起因して全体のサイクル時間に著しく影響してしまう。
本開示は上記で特定された問題の一つ以上の影響を回避又は少なくとも低減することができる種々の方法及び装置を対象としている。
以下、本発明の幾つかの側面の基本的な理解を提供するために本発明の簡単な概要を提示する。この概要は本発明の包括的な概観ではない。鍵となる若しくは臨界的な本発明の要素を特定し又は本発明の範囲を描くことは意図されていない。その唯一の目的は、後で論じられる更に詳細な説明への序としての単純化された形態にある幾つかの概念を提示することである。
概して、ここに開示される主題は、高性能な半導体デバイスの金属化層の誘電性材質における開口のパターニングが高度に効果的な製造フローに基いて達成される一方で、高性能な金属線のエレクトロマイグレーション性能を高める上で有利に用いられてよい導電性キャップ材質、例えばコバルト、タングステン、リンを含む合金あるいは他の適切な合金材質の必要以上の材質除去を実質的に回避する技術及びそれぞれの半導体デバイスに関連している。この目的のため、後続のウエット化学的クリーニング処理が、確立され高度に効果的なクリーニングレシピに基いて実質的に導電性キャップ材質内に不所望なボイドを多量に生成することなしに実行され得るように、ビア開口のパターニングの間に保護材質が合金の露出された側壁部分に効果的に位置させられてよい。保護材質は高度に局部的な方法(highly local manner)で位置させることができるので、エレクトロマイグレーション性能に関する導電性キャップ材質の全体的な特性に過度に影響を与えることがない一方で、この製造段階での金属化層の露出した誘電体部分のような他のデバイス領域に顕著な影響を与えることもない。幾つかの例示的な側面においては、下層の金属領域の材質が、後続のウエット化学的クリーニング処理の間に導電性キャップ層の露出された側壁部分を効果的に覆うように、例えば導電性キャップ層を介したエッチングによるビア開口の底における材質の効果的な再分配が達成されてよい。それ故に、優れたデバイス特性を提供しているにもかかわらず、標準的な処理技術との高度な互換性を維持することができる。
ここに開示される一つの例示的な方法は、半導体デバイスのための金属化層の金属領域の導電性キャップ層を貫通して延在するように誘電体層に開口を形成することを備えている。方法は、開口内に露出した導電性キャップ層の表面領域を実質的に覆うように開口の下部に保護層を形成することを更に備えている。最後に、方法は、ウエット化学的クリーニング処理を行うことを備えている。
ここに開示される更なる例示的な方法は、半導体デバイスにおける金属化層の誘電体層内にビア開口を形成することを備えており、ビア開口は金属領域上に形成される少なくとも導電性キャップ層内まで延在する。方法は追加的に、イオン衝撃を確立すること及び材質の再分配のウエット化学的クリーニング処理を実行することによってビア開口の底における材質を再分配することを備えている。
ここに開示される一つの例示的な半導体デバイスは、第1の誘電体層内に形成される金属領域と、金属領域の少なくとも一部の上に形成される導電性キャップ層とを備えている。また、第2の誘電体層を貫通し導電性キャップ層を貫通してビアが延在しており、ビア内で導電性キャップ層の側壁部分上には保護材質層が形成されている。加えて、半導体デバイスは、ビア内において保護材質上及び第2の誘電体層の表面部分上に形成される導電性バリア層を備えている。
本開示は、以下の説明を添付図面と併せて参照することにより理解することができ、添付図面において同等の参照番号は同等の要素を特定する。
図1aは、標準的な処理戦略に従い、CoWPが形成された銅含有金属領域と接続するビア開口を形成するための誘電性材質のパターニングの間における半導体デバイスの断面図(その1)を模式的に示している。 図1bは、標準的な処理戦略に従い、CoWPが形成された銅含有金属領域と接続するビア開口を形成するための誘電性材質のパターニングの間における半導体デバイスの断面図(その2)を模式的に示している。 図2aは、例示的な実施形態に従い、導電性キャップ層の側壁部分を保護しながら金属化レベル内にビアを形成する種々の製造段階における半導体デバイスの断面図(その1)を模式的に示している。 図2bは、例示的な実施形態に従い、導電性キャップ層の側壁部分を保護しながら金属化レベル内にビアを形成する種々の製造段階における半導体デバイスの断面図(その2)を模式的に示している。 図2cは、例示的な実施形態に従い、導電性キャップ層の側壁部分を保護しながら金属化レベル内にビアを形成する種々の製造段階における半導体デバイスの断面図(その3)を模式的に示している。 図2dは、例示的な実施形態に従い、導電性キャップ層の側壁部分を保護しながら金属化レベル内にビアを形成する種々の製造段階における半導体デバイスの断面図(その4)を模式的に示している。 図2eは、例示的な実施形態に従い、導電性キャップ層の側壁部分を保護しながら金属化レベル内にビアを形成する種々の製造段階における半導体デバイスの断面図(その5)を模式的に示している。 図2fは、他の例示的な実施形態に従い、デュアルダマシン戦略によって金属線及び下層の金属化レベルの金属線と接続するビアが形成された半導体デバイスの断面図を模式的に示している。 図2gは、更に他の実施形態に従い、ビア底に局部的に付加的な材質を導入しながらのビア開口のパターニングの間における半導体デバイスの断面図(その1)を模式的に示している。 図2hは、更に他の実施形態に従い、ビア底に局部的に付加的な材質を導入しながらのビア開口のパターニングの間における半導体デバイスの断面図(その2)を模式的に示している。
ここに開示される主題は種々の改変及び代替的な形態を許容し得る一方で、その特定の実施形態は、図面内の例によって示されてきておりここに詳細に説明されている。しかしながら、特定の実施形態のここでの説明は、開示された特定の形態に本発明を限定することを意図しているのではなく、むしろ添付の特許請求の範囲に規定された本発明の精神及び範囲内にある全ての改変、均等なもの及び代替案を網羅する意図であることが理解されるべきである。
本発明の種々の例示的な実施形態が以下に説明される。明瞭のために、実際の実装の全ての特徴は本明細書において説明されていない。言うまでもなく、そのようないかなる実際の実施形態の開発においても、一つの実装と他とで異なるものになるであろうシステム関連及びビジネス関連の制約の遵守のような開発者の特定の目標を達成するために、多くの実装固有の決定がなされなければならないことは理解されるであろう。また、そのような開発努力は得てして複雑で時間のかかるものになろうが、この開示の利益を享受する当業者にとっては経常的業務であろうことが理解されよう。
添付図面を参照して本主題を説明する。種々の構成、システム及び装置が、説明のみを目的とし且つ当業者に周知の詳細と相まって本開示を不明確にすることのないように、図面内に模式的に描かれている。それでもなお、本開示の例示的な実例を説明するために添付図面が含まれているものである。ここで用いられている語句(words and phrases)は、関連分野も含めた当業者によるそれらの語句の理解と矛盾しない意味を有するように理解され且つ解釈されるべきである。用語又は句(term or phrase)の特別な定義、即ち当業者によって理解されるような通常の慣例的な意味とは異なる定義は、ここでの用語又は句の一貫した用法によって暗示されることを意図したものではない。用語又は句が特別な意味、即ち当業者によって理解される以外の意味を有することが意図される限りにおいて、そのような特別の定義は、用語又は句に対する特別な定義を直接的に且つ明白に提供する方法で明細書中に明示的に記載されるであろう。
概して、本開示は、ウエット化学的エッチングレシピとの組み合わせにおいて適度に高いエッチング速度を典型的には有してよい三元合金等の合金を備えた導電性キャップ層の露出した表面領域を適切に覆うことによる優れた金属化システムのパターニングの間に、確立されたウエット化学的エッチングレシピが用いられてよい技術に関連している。導電性キャップ材質の露出した表面部分を効果的に覆うことは、幾つかの例示的な実施形態では、典型的にはより貴(noble)であり従って導電性キャップ層の材質と比較してより負側の電極電位を有するであろう、クリーニング剤に対して極めて高いエッチング抵抗力を有する材質、例えば下層の金属領域の金属を局部的に設けることによって達成され得る。この目的で、ビア開口のパターニングの間、ビア開口が導電性キャップ層を通って延びそして金属領域の材質を露出させるようにエッチング処理が制御されてよく、露出した材質は次いでビア底の露出した側壁部を覆うための「材質源(a material source)」として用いられてよい。その結果、エッチング処理の間及び/又は更なる処理ステップにおいて、イオン衝撃がビア底で材質の望ましい再分配をもたらしてよく、再分配された材質は側壁部分に再堆積させられてよく、それによりウエット化学的エッチングレシピについて望ましい高いエッチング抵抗力を有することができる保護材質層が次第に積み重なる。イオン衝撃はまた「スパッタ」エッチング処理をもたらす処理パラメータに基いて確立されてよく、この場合、露出した金属領域の材質はスパッタターゲットとして機能することができ、スパッタターゲットからは材質が解放されてビア開口の低部に再堆積することができる。例えばキャップ層を介しての異方性エッチング処理の間あるいは付加的な「スパッタ」ステップの間に設けられたイオン衝撃の間、材質の再分配が導電性キャップ層及び誘電性エッチング停止層に実質的に限定され得るように処理が制御されてよく、一方では高性能なアプリケーションで典型的に用いられてよい低k誘電性材質上への材質の多量の堆積が実質的に回避されている。この目的のため、誘電性エッチング停止層の層厚を適切に選択して考慮中の材質を再配分するための望ましい処理マージンを提供するように、イオン衝撃を生成するための適切な処理パラメータが例えば試験測定等に基いて決定されてよい。
例えば、露出した金属領域は多量の銅を備えていてよく、銅は複数の確立されたウエット化学的クリーニングレシピに関して高いエッチング抵抗力を提供し得るのであるが、その一方で低k材質上への銅材質の直接的接触あるいは堆積は不適切であると考えられるであろう。従ってこの場合には、銅材質の多量の堆積は処理パラメータ及び/又は誘電性エッチング停止層の層厚を適切に調節することによって抑制することができる。他の例示的な実施形態では、ビア開口の低側壁部分上に再分配されるべき保護材質の全体の特性を高めるために、例えば表面処理、イオン注入等によって更なる材質がビア底に局部的に設けられてよい。それ故、導電性キャップ層の露出した部分に堆積させられてよい保護材質の全体の特性は、エッチング抵抗力、密着性等を考慮して所用の程度に調節することができる。
図2aは基板201を備えた半導体デバイス200の断面図を模式的に示しており、基板201の上方にはシリコン系材質等の半導体材質を呈してよいデバイスレベル(図示せず)が設けられていてよく、その内部及び上方には複数の回路要素がそれぞれの設計ルールに従って形成されていてよい。例えば前述したように、高性能なアプリケーションにおいては、回路要素は概ね50nm以下の限界寸法を有しているであろう。また、付加的なデバイスレベル、即ち例えば特定の回路構成に従って回路要素のそれぞれの接触領域を一つ以上の金属化層210と接続するように設計された接触構造(図示せず)が設けられていてよい。金属化層210は、場合によっては適切なキャップ層あるいはエッチング停止層(図示せず)を伴う誘電性材質211を備えていてよい。誘電性材質211及びそれぞれのキャップ層のための適切な材質組成は、デバイス100に関して既に説明したのと同様の基準に従って選択されてよい。また、金属化層210は、例えば金属線の形態にある金属領域212を備えていてよく、金属領域212は前述したようなバリア材質212Aを備えていてよい。更に、導電性キャップ層213が金属領域212上に形成されていてよく、そこでは前述したように任意の適切な合金、例えばコバルト/タングステン/リンを備えた合金が用いられてよい。しかし、エレクトロマイグレーションに関して高められた性能を達成することができる限りにおいて任意の他の合金が使用可能であることは理解されるべきである。
また、誘電性材質221を備えていてよい第2の金属化層220が層210の上方に形成されていてよく、図示された実施形態では、誘電性材質221内にビア開口221Aを形成するためのエッチング処理の間における導電性キャップ層213のエッチング選択性が不適切であると考えられる場合には、エッチング停止層214が設けられてよい。更に、レジスト材質から構成されてよいエッチングマスク205、反射防止膜(ARC)材質等が誘電体層221の上方に形成されていてよく、これらはエッチング処理206の間のビア開口221Aの横方向の寸法を規定するための開口を有していてよい。
図2aに示されるような半導体デバイス200は、デバイス100に関して上述したのと同様な処理技術に基いて形成することができる。例えば、確立されたパターニング戦略に従ってエッチングマスク205を形成することができ、確立された処理パラメータを異方性エッチング処理206のために用いてよい。ビア及び溝を金属化層の誘電性材質に形成するために、複数のパターニングレジーム(patterning regimes)、例えばシングルダマシン技術、デュアルダマシン技術等が使用可能であることは理解されるべきである。以下の処理スキームは、ビア開口221Aをパターニングし次いでこれを適切な導電性材質で充填するための処理とみなすことができ、一方では対応する金属線を別の処理シーケンスにおいて形成することができる。他のケースでは、ビア開口及び対応する溝がパターニングシーケンスにおいて形成され、その後の導電性材質の充填が共通の製造処理において実行されてよく、これについては図2fを参照して後述する。更に他のケースでは、シングルダマシン及びデュアルダマシン戦略の組み合わせを用いることができ、そこではビア開口は別個の処理シーケンスにおいて画定され次いでそれぞれの溝が形成されてよいが、一方では両方の開口は共通に導電性材質で充填されてよい。
図2bは更に進んだ段階における半導体デバイス200を模式的に示しており、そこではエッチング処理206の間に適切なエッチング停止層として用いられたものであってよいエッチング停止層214を介してエッチングするために、エッチング処理206Aが実行されてよい。エッチング処理206Aは、図2bに示されるように、全体の処理戦略に応じて、処理206と同じエッチングツールにおいて実行されてよく、あるいは異なるエッチングツールにおいて確立されてよい。例えば、確立されたエッチング化学に基いて誘電性材質221を介してエッチングした後に、エッチング前線(etch front)はエッチング停止層214の上あるいは内部で停止してよく、次いでエッチング停止層214を介してエッチングするためにエッチング化学が変更されてよく、このエッチングは確立されたエッチングレシピに基いて達成され得る。実例としては、エッチング停止層214は窒化シリコン、炭化シリコン、窒素含有炭化シリコン、非晶質炭素あるいは他の適切な材質組成から構成されてよく、場合によっては複数の異材質層を含んでいてよく、これらの材質に対しては確立されたエッチング化学が利用可能である。例えば、材質214を介して効果的にエッチングするためにフッ素系エッチング化学を用いることができる。エッチング処理206Aの間エッチング前線は導電性キャップ層213の材質を攻撃するが、そのエッチング速度は全体の処理戦略に応じて大きく異なる。デバイス100に関して既に論じたように、典型的にはエッチング処理206Aは導電性キャップ層213内で停止してよい。ここに開示される幾つかの例示的な実施形態では、導電性キャップ層213を介してエッチングして最終的には金属領域212を露出させるために、実質的に同一のエッチング化学に基いてエッチング処理206Aが継続させられてよい。幾つかの例示的な実施形態では、エッチング処理206Aの間のイオン衝撃の程度は、材質213の露出された表面部分のそれぞれの原子を連続的にスパッタリングしてそれらの原子が開口221Aの低側壁部分へ再堆積させられることを可能にするために、十分な物理的構成要素を得るように適切に選択されてよい。このように、幾つかの例示的な実施形態では、エッチング処理206A、少なくともその最終局面は、再堆積して対応する薄い層を形成してよいそれぞれの種を生成するための明白な物理的構成要素に基いて実行されてよく、その薄い層は金属領域212の材質を再スパッタリングするときの「バリア材質」として用いられてよい。
既に説明したように、プラズマパワー、バイアスパワー等に関する適切なパラメータは、エッチング処理の対応する結果の後続の調査を伴う試運転に基いて容易に決定することができる。例えば、同等の又は同一の設計寸法の試験ビア開口を含む試験構造が、ビア221Aの底での材質再分配のそれらの程度を異なる試験パラメータに対して決定するために、断面解析に供されてよい。他の例示的な実施形態では、エッチング環境の成分が露出した表面部分に接触する場合、エッチング処理206Aのこの局面での顕著な材質再分配が望まれなくてよい場合、あるいは反応性成分の適度に多い量を伴うエッチングレシピに基く対応する材質再分配が適切であると考えられてよい場合には、エッチング処理206Aは、典型的な化学反応エッチング処理に基いて、即ち揮発性成分を形成することによって実行されてよい。
図2cは進んだ段階における半導体デバイス200を模式的に示しており、幾つかの実施形態では、導電性キャップ層213を介して実質的に完全にエッチングした後に金属領域212の露出した表面部分から金属原子を次第に解放するために、イオン衝撃206Bが実行されてよい。その結果、イオン衝撃206Bの間、保護材質212Pがキャップ層213の側壁部分213Sに形成されてよく、保護材質212Pは実質的に金属領域212の材質から構成されていてよく、他の成分、例えば導電性キャップ層213の成分が保護材質212Pに組み込まれていてよい。典型的には、金属領域212の材質は導電性キャップ層213の成分と比較してより貴(noble)であってよいので、保護材質212Pは、CoWP等の典型的に用いられる合金に比べて著しく低い材質212Pの負の電極電位に起因して、ウエット化学的クリーニング処理に対して極めて大きな抵抗力を有しているであろう。既に説明したように、イオン衝撃206Bは、エッチング処理206Aにおいて用いられてよいのと実質的に同一の処理条件に基いて確立されてよく、一方他のケースでは、「スパッタリングエッチング」処理とも称されることのある実質的に物理的に駆動される除去処理が確立されて、領域212における効果的な材質除去が確立されてよいが、対応する処理条件、例えば圧力、温度、プラズマ、パワー及びバイアスパワーは、解放された材質が即座に再堆積させられて保護材質212Pを形成するように選択されてよい。
例えば、各「スパッタリング」雰囲気はアルゴン等の適切な種を用いて任意の適切なエッチングチャンバに基づいて確立されてよく、一方他の例示的な実施形態では、材質の再分配の対応する速度が適切であると考えられてよい場合には、エッチング処理206A等の間に既に用いられたであろうそれぞれの反応性成分の供給は中断されてよい。この場合にもまた、導電性バリア材質の堆積及びそれらの材質の対応する再スパッタリングの間にも用いられてよく、高性能なパターニングレジームにおいてしばしば用いられるであろうような例えば承認された処理レシピを用いて、適切な処理パラメータを容易に決定することができる。既に論じられているように、幾つかの実施形態では、処理206Aの間に明白なイオン衝撃もまた確立されてよく、それにより保護材質212Pがその上に次第に堆積させられてよい「バリア層」が形成される。例えば、材質のそれぞれの層はビア開口221Aの低部にわたって例えばエッチング停止層214の上方で「広がって」よく、それにより保護材質212Pの後続の解放及び再分配のための誘電性材質221についてのバリアが提供されてよく、保護材質212Pは実質的に金属領域212の材質から構成されていてよく、金属領域212の材質は複数の低k誘電性材質内に拡散し易いことが知られている銅を含んでいてよい。従ってこの場合、金属領域212の材質の誘電性材質221との直接の接触が不適切であると考えられてよい場合であっても、保護材質212Pを再分配するための高さレベルの制御はそれほど気にすることはない。
図2dは更に進んだ製造段階における半導体デバイス200を模式的に示しており、そこではウエット化学的クリーニング処理215が実行されてよく、希釈されたフッ化水素酸(HF)、アンモニア及び過酸化水素の混合物等のような確立されたレシピが用いられてよい。保護材質212Pの高いエッチング抵抗力に起因して、保護材質212Pによって覆われるであろう側壁部分213Sの露出を効果的に抑制することができ、それにより従来の処理戦略に関して既に説明したようにボイド及び他の異常が生成される確率が顕著に低減される。従って、ウエット化学的クリーニング処理215の後、標準的な戦略ではおそらく頻繁に必要とされるであろう導電性キャップ層のボイドを再充填するための付加的な堆積処理を必要とせずに、適切なバリア材質の堆積による更なる処理を継続することができる。
図2eは更に進んだ製造段階における半導体デバイス200を模式的に示している。図示されるように、開口221A内には、適切な金属、例えば銅から構成されてよいビア222Aがバリア材質222Bと共に形成されており、バリア材質222Bはまた保護材質212Pの少なくとも一部分を覆っていてよい。
図2fは更なる例示的な実施形態に従う半導体デバイス200を模式的に示しており、ビア222A及び金属線222Cはデュアルダマシン戦略に従って形成されてよく、デュアルダマシン処理においては溝開口及びビア開口221Aが誘電性材質221内に形成されてよくそして共通の処理シーケンスにおいて充填されてよい。この目的のため、幾つかのケースでは、ビア開口221Aは図2aを参照して既に説明したのと同じ処理技術に従って形成されてよく、溝開口をパターニングするための溝マスクを提供するのにそれぞれのリソグラフィー処理が次いで実行されてよい。他のケースでは、ビア開口221Aの上部が先ず形成されてよく次いで溝及びビア開口221Aの下部が共通のエッチング処理において形成されてよい一方で、更に他のアプローチにおいては、溝開口が最初に形成されて次いでビア開口221Aのパターニングが続いてよい。用いられる処理シーケンスにかかわらず、エッチング停止層214を開くに際してあるいは導電性キャップ層213を露出させるに際しては、既に説明したように、対応するエッチング処理206A及び/又は206Bが実行されて、導電性キャップ層213の露出した側壁部分に保護材質212Pが設けられてよい。幾つかの実施形態では、材質212Pの誘電性材質221との直接の接触を実質的に回避するために、保護材質212Pはキャップ層213のキャップ層表面213S及びエッチング停止層214の側壁面に限定して形成されてよい。他のケースでは、金属領域212の材質の材質221との直接の接触が問題ないと考えられる場合には、材質212Pはエッチング停止層214の上方にまで延在していてよい。このように、用いられるパターニングレジームにかかわらず、導電性キャップ層213の欠落した部分に起因する欠陥の生成の可能性を著しく減少しつつ、効果的な全体の処理フローを確立することができる。その結果、概ね50nm以下のゲート長203Lを有するトランジスタ203のようなトランジスタ要素を備えていてよい高性能な半導体デバイスにおいて、金属化層、例えば金属化層220を形成することができ、標準的な戦略との高度な互換性を提供する一方で、金属化層の高い製造歩留まりに貢献することができる。
次に、図2g及び2hを参照して更なる例示的な実施形態が説明され、そこでは金属領域212からの材質の効果的な再分配に加えて、付加的な材質種がビアの底に組み込まれるであろう。
図2gはエッチング停止層214及び導電性キャップ層213を通って延在するように誘電性材質221内に形成されたビア221Aを有する半導体デバイス200を模式的に示している。更に、誘電性材質221の上部には溝221Bが形成されていてよい。例えば、溝221Bは既に説明したような処理技術に従って形成することができる。層214及び213を通ってのエッチングの間、層213の材質のそれぞれの再分配が適切であると考えられてよい場合には、既に説明したようにして適切な処理条件が確立されているであろう。図示された実施形態では、金属領域212の表面の露出の前及び/又は後に、ビア221Aの底に望ましい材質種を導入するために更なる処置207が実行されてよい。この目的のため、材質212Pの特性を調整し後続の製造段階で再分配するために、処置207は例えば窒素、シリコン、酸素等の種を組み入れるための処理を備えていてよい。例えば、窒素等の適切な種を組み入れることによって、後続のウエット化学的エッチング処理、例えば処理215(図2d)に対する全体的なエッチング抵抗力が調整されてよく、それにより保護材質212Pの層厚を低減することができる。他の例示的な実施形態では、全体的な処理戦略に応じて、材質212Pの全体的な特性を高めるために、アルミニウム等のそれぞれの種を局部的に供給するイオン注入処理が実行されてよい。この場合、ビア開口221Aを画定するするためのエッチングマスクに基いて対応する注入処理が実行されてよい一方で、溝221Bは未だ形成されていなくてよい。
図2hは既に導入された材質種と組み合わせて領域212に対して材質を再分配するための処理206Bの間における半導体デバイス200を模式的に示している。幾つかの実施形態では、処理206Bはスパッタリングエッチング処理を提示してよく、スパッタリングエッチング処理は再スパッタリング処理の間に用いられても良いような確立された処理パラメータに基いて実行することができ、再スパッタリング処理は材質222B(図2e及び2f)のような導電性バリア材質の堆積と組み合わせてしばしば用いられるであろう。幾つかの実施形態では、全ての残留物あるいは全ての意図的に維持された層の部分は、クリーニング処理215の間にエッチング抵抗力を高めることができ、また始動堆積処理に基くバリア層222Bの形成のための初期局面の間に除去することができるから、処理206Bの間における表面212Cの除去はそれほど気にせずによいであろう。その後、上述したように更なる処理が継続されてよい。
結果として、本開示は、ウエット化学的クリーニング処理を実行するのに先立つビア底での材質再分配による保護材質の形成によって導電性キャップ材質内での異常又はボイドの生成を顕著に低減することができる技術及び対応する半導体デバイスを提供する。従って、標準的な戦略との高度な互換性を提供しあるいはキャップ材質の更なる再堆積が必要となるであろう標準的な技術に対してサイクル時間を強化する一方で、高度に効果的な全体処理フローを確立することができる。
以上開示された特定の実施形態は例示にすぎず、本発明は改変されてよく、また、ここでの示唆の利益を享受する当業者に明らかな、均等であるが異なるやり方で実施されてよい。例えば、上述した処理ステップは異なる順序で実行されてよい。また、以下の特許請求の範囲に記載されていることを除き、ここで示されている構成又は設計の詳細に限定することは意図されていない。従って、以上開示された特定の実施形態が変更され又は修正されてよく、そのような全ての変形が本発明の精神及び範囲内にあるものとみなされることは明らかである。従って、ここでの保護の対象は以下の特許請求の範囲に記載された通りである。

Claims (15)

  1. 半導体デバイス(200)の金属化層(210)の金属領域(212)の導電性キャップ層(213)を通って延在するように誘電体層(221)内に開口(221A)を形成することと、
    前記開口(221A)内に露出した前記導電性キャップ層(213)の表面領域を実質的に覆うように前記開口(221A)の下部に保護層(212P)を形成することと、
    ウエット化学的クリーニング処理を行うことと、を備えた方法。
  2. 前記保護層(212P)を形成することは、前記金属領域(212)の露出した表面から材質を除去するために、かつ、前記材質を前記表面領域に再堆積させるために、イオン衝撃を行うことを備えている、請求項1に記載の方法。
  3. 前記導電性キャップ層(213)を通って前記金属領域(212)の前記材質内までエッチングするための異方性エッチング処理の間に前記イオン衝撃が生成される、請求項2に記載の方法。
  4. 前記イオン衝撃は、スパッタリングエッチング処理を行うことにより生成される、請求項2に記載の方法。
  5. 前記金属領域(212)は、前記ウエット化学的クリーニング処理の間に前記導電性キャップ層(213)と比較して高いエッチング抵抗力を有する金属を備えている、請求項1に記載の方法。
  6. 前記保護層(212P)を形成することは、前記開口(221A)の底部に材質を選択的に形成することと、イオン衝撃によって前記材質を再分配することと、を備えている、請求項1に記載の方法。
  7. 前記材質は、前記金属領域(212)の材質及び前記導電性キャップ層(213)の材質と異なる、請求項6に記載の方法。
  8. 金属領域(212)上に形成される少なくとも導電性キャップ層(213)内にまで延在するビア開口(221A)を、半導体デバイス(200)の金属化層の誘電体層(221)内に形成することと、
    イオン衝撃を確立することによって前記ビア開口(221A)の底部に材質を再分配することと、
    前記材質を再分配した後にウエット化学的クリーニング処理を行うことと、を備えた方法。
  9. 前記ビア開口(221A)を形成することは、前記導電性キャップ層(213)を通してエッチングすることを備えている、請求項8に記載の方法。
  10. 前記導電性キャップ層(213)を通って前記金属領域(212)内までエッチングしながら前記材質が再分配される、請求項8に記載の方法。
  11. 前記材質を再分配するのに先立って前記底部に保護材質(212P)を選択的に形成することを更に備えている、請求項8に記載の方法。
  12. 第1の誘電体層(211)内に形成される金属領域(212)と、
    前記金属領域(212)の少なくとも一部分上に形成される導電性キャップ層(213)と、
    第2の誘電体層(221)と前記導電性キャップ層(213)とを通って延在するビア(221A)と、
    前記ビア(221A)内の前記導電性キャップ層(213)の側壁部分に形成される保護材質(212P)と、
    前記ビア(221A)内で前記保護材質(212P)上及び前記第2の誘電体層(221)の表面部分上に形成される導電性バリア層(222B)と、を備えた半導体デバイス。
  13. 前記導電性キャップ層(213)と前記第2の誘電体層(221)との間に形成される誘電性エッチング停止層(214)を更に備え、
    前記ビア(221A)は前記エッチング停止層(214)を通って延在している、請求項12に記載の半導体デバイス。
  14. 前記保護材質(212P)は、前記ビア(221A)内の前記エッチング停止層(214)の側壁の下部を覆う、請求項13に記載の半導体デバイス。
  15. 前記エッチング停止層(214)の上部側壁部分は、前記保護材質(212P)により覆われていない、請求項14に記載の半導体デバイス。
JP2011507443A 2008-04-30 2009-04-30 半導体デバイス内でのビアパターニングにおける金属キャップ層の侵食を低減する方法 Pending JP2011519487A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE102008021568.6 2008-04-30
DE102008021568A DE102008021568B3 (de) 2008-04-30 2008-04-30 Verfahren zum Reduzieren der Erosion einer Metalldeckschicht während einer Kontaktlochstrukturierung in Halbleiterbauelementen und Halbleiterbauelement mit einem schützenden Material zum Reduzieren der Erosion der Metalldeckschicht
US12/397,661 US7986040B2 (en) 2008-04-30 2009-03-04 Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices
US12/397,661 2009-03-04
PCT/US2009/002631 WO2009134386A1 (en) 2008-04-30 2009-04-30 Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices

Publications (2)

Publication Number Publication Date
JP2011519487A true JP2011519487A (ja) 2011-07-07
JP2011519487A5 JP2011519487A5 (ja) 2012-05-10

Family

ID=41256569

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011507443A Pending JP2011519487A (ja) 2008-04-30 2009-04-30 半導体デバイス内でのビアパターニングにおける金属キャップ層の侵食を低減する方法

Country Status (6)

Country Link
US (2) US7986040B2 (ja)
JP (1) JP2011519487A (ja)
KR (1) KR101557906B1 (ja)
CN (1) CN102077340A (ja)
DE (1) DE102008021568B3 (ja)
TW (1) TW201001552A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014187404A (ja) * 2014-07-08 2014-10-02 Fujikura Ltd 貫通配線基板の製造方法

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005004409B4 (de) * 2005-01-31 2011-01-20 Advanced Micro Devices, Inc., Sunnyvale Technik zur Erhöhung der Prozessflexibilität während der Herstellung von Kontaktdurchführungen und Gräben in Zwischenschichtdielektrika mit kleinem ε
DE102008049775B4 (de) 2008-09-30 2018-08-09 Globalfoundries Inc. Herstellungsverfahren einer Metalldeckschicht mit besserer Ätzwiderstandsfähigkeit für kupferbasierte Metallgebiete in Halbleiterbauelementen
US8164190B2 (en) * 2009-06-25 2012-04-24 International Business Machines Corporation Structure of power grid for semiconductor devices and method of making the same
US8637395B2 (en) * 2009-11-16 2014-01-28 International Business Machines Corporation Methods for photo-patternable low-k (PPLK) integration with curing after pattern transfer
US8691687B2 (en) * 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US8586472B2 (en) * 2010-07-14 2013-11-19 Infineon Technologies Ag Conductive lines and pads and method of manufacturing thereof
DE102012210480B4 (de) * 2012-06-21 2024-05-08 Robert Bosch Gmbh Verfahren zum Herstellen eines Bauelements mit einer elektrischen Durchkontaktierung
US9627256B2 (en) * 2013-02-27 2017-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit interconnects and methods of making same
DE102013104464B4 (de) * 2013-03-15 2019-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterstruktur
US10032712B2 (en) 2013-03-15 2018-07-24 Taiwan Semiconductor Manufacturing Company Limited Semiconductor structure
JP6438831B2 (ja) * 2015-04-20 2018-12-19 東京エレクトロン株式会社 有機膜をエッチングする方法
CN107492506B (zh) * 2016-06-12 2020-01-03 中芯国际集成电路制造(上海)有限公司 半导体结构及形成方法
US10211052B1 (en) * 2017-09-22 2019-02-19 Lam Research Corporation Systems and methods for fabrication of a redistribution layer to avoid etching of the layer
US10276794B1 (en) 2017-10-31 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and fabrication method thereof
US11069526B2 (en) * 2018-06-27 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Using a self-assembly layer to facilitate selective formation of an etching stop layer
CN113517395B (zh) * 2021-04-15 2023-04-18 长江先进存储产业创新中心有限责任公司 相变存储器的制备方法、制备的控制方法以及相变存储器
US11854870B2 (en) * 2021-08-30 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Etch method for interconnect structure

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003160877A (ja) * 2001-11-28 2003-06-06 Hitachi Ltd 半導体装置の製造方法および製造装置
JP2006210508A (ja) * 2005-01-26 2006-08-10 Sony Corp 半導体装置およびその製造方法
JP2006324584A (ja) * 2005-05-20 2006-11-30 Sharp Corp 半導体装置およびその製造方法
JP2007042662A (ja) * 2003-10-20 2007-02-15 Renesas Technology Corp 半導体装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6380075B1 (en) * 2000-09-29 2002-04-30 International Business Machines Corporation Method for forming an open-bottom liner for a conductor in an electronic structure and device formed
JP2002176099A (ja) * 2000-12-08 2002-06-21 Nec Corp 半導体装置及びその製造方法
US6756672B1 (en) * 2001-02-06 2004-06-29 Advanced Micro Devices, Inc. Use of sic for preventing copper contamination of low-k dielectric layers
US6831018B2 (en) * 2001-08-21 2004-12-14 Matsushita Electric Industrial Co., Ltd. Method for fabricating semiconductor device
JP4198906B2 (ja) * 2001-11-15 2008-12-17 株式会社ルネサステクノロジ 半導体装置および半導体装置の製造方法
US6528409B1 (en) * 2002-04-29 2003-03-04 Advanced Micro Devices, Inc. Interconnect structure formed in porous dielectric material with minimized degradation and electromigration
US7026714B2 (en) * 2003-03-18 2006-04-11 Cunningham James A Copper interconnect systems which use conductive, metal-based cap layers
US7365001B2 (en) * 2003-12-16 2008-04-29 International Business Machines Corporation Interconnect structures and methods of making thereof
US6949457B1 (en) * 2004-01-21 2005-09-27 Kla-Tencor Technologies Corporation Barrier enhancement
US7259463B2 (en) * 2004-12-03 2007-08-21 Taiwan Semiconductor Manufacturing Company, Ltd. Damascene interconnect structure with cap layer
KR100640662B1 (ko) * 2005-08-06 2006-11-01 삼성전자주식회사 장벽금속 스페이서를 구비하는 반도체 소자 및 그 제조방법
DE102005046975A1 (de) * 2005-09-30 2007-04-05 Advanced Micro Devices, Inc., Sunnyvale Technik zur Herstellung einer kupferbasierten Metallisierungsschicht mit einer leitenden Deckschicht
KR100660915B1 (ko) * 2006-02-03 2006-12-26 삼성전자주식회사 반도체 소자의 배선 형성 방법

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003160877A (ja) * 2001-11-28 2003-06-06 Hitachi Ltd 半導体装置の製造方法および製造装置
JP2007042662A (ja) * 2003-10-20 2007-02-15 Renesas Technology Corp 半導体装置
JP2006210508A (ja) * 2005-01-26 2006-08-10 Sony Corp 半導体装置およびその製造方法
JP2006324584A (ja) * 2005-05-20 2006-11-30 Sharp Corp 半導体装置およびその製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014187404A (ja) * 2014-07-08 2014-10-02 Fujikura Ltd 貫通配線基板の製造方法

Also Published As

Publication number Publication date
CN102077340A (zh) 2011-05-25
KR20110003562A (ko) 2011-01-12
TW201001552A (en) 2010-01-01
KR101557906B1 (ko) 2015-10-06
US8338293B2 (en) 2012-12-25
DE102008021568B3 (de) 2010-02-04
US7986040B2 (en) 2011-07-26
US20090273086A1 (en) 2009-11-05
US20120003832A1 (en) 2012-01-05

Similar Documents

Publication Publication Date Title
JP2011519487A (ja) 半導体デバイス内でのビアパターニングにおける金属キャップ層の侵食を低減する方法
US8329577B2 (en) Method of forming an alloy in an interconnect structure to increase electromigration resistance
US7193327B2 (en) Barrier structure for semiconductor devices
US7745327B2 (en) Method of forming a copper-based metallization layer including a conductive cap layer by an advanced integration regime
US7851924B2 (en) Method of manufacturing semiconductor device, and semiconductor device
US8084354B2 (en) Method of fabricating a metal cap layer with enhanced etch resistivity for copper-based metal regions in semiconductor devices
US20070077761A1 (en) Technique for forming a copper-based metallization layer including a conductive capping layer
TWI557809B (zh) 包含接觸結構與形成於接觸蝕刻停止層之側壁上之保護層的半導體設備以及製造半導體裝置的方法
US20050263891A1 (en) Diffusion barrier for damascene structures
US8492269B2 (en) Hybrid contact structure with low aspect ratio contacts in a semiconductor device
JP2002050690A (ja) レベル間相互の接続構造および方法
JP2009026989A (ja) 半導体装置及び半導体装置の製造方法
JP2012519373A (ja) 半導体デバイスのメタライゼーションシステムにおいて優れたエレクトロマイグレーション性能を提供すること及び敏感な低k誘電体の劣化を低減すること
KR20110063505A (ko) 반도체 소자의 금속화 시스템에서 캡 층을 cmp 및 식각 중지 층으로 사용하는 반도체 소자 및 그 제조 방법
US20080206986A1 (en) Method of forming a copper-based metallization layer including a conductive cap layer by an advanced integration regime
US20050266679A1 (en) Barrier structure for semiconductor devices
US8053359B2 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
US20090032961A1 (en) Semiconductor device having a locally enhanced electromigration resistance in an interconnect structure
KR20040012912A (ko) 증착 공정에 의해 배선 영역들을 선택적으로 합급하는 방법
JP2009027048A (ja) 半導体装置の製造方法
WO2009134386A1 (en) Method of reducing erosion of a metal cap layer during via patterning in semiconductor devices
KR100698743B1 (ko) 반도체 소자의 제조 방법
JP2007019555A (ja) 半導体集積回路装置の製造方法
JP2000164717A (ja) 半導体装置及び半導体装置の製造方法
JP2009239099A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120308

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120308

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131011

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20131016

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140312