JP2009543339A - エッチング損傷を受けた低誘電率の誘電材料(low−kdielectricmaterials)を修復し且つその強度を回復する方法 - Google Patents

エッチング損傷を受けた低誘電率の誘電材料(low−kdielectricmaterials)を修復し且つその強度を回復する方法 Download PDF

Info

Publication number
JP2009543339A
JP2009543339A JP2009518165A JP2009518165A JP2009543339A JP 2009543339 A JP2009543339 A JP 2009543339A JP 2009518165 A JP2009518165 A JP 2009518165A JP 2009518165 A JP2009518165 A JP 2009518165A JP 2009543339 A JP2009543339 A JP 2009543339A
Authority
JP
Japan
Prior art keywords
catalyst
silane
dielectric constant
capping agent
dielectric material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Ceased
Application number
JP2009518165A
Other languages
English (en)
Other versions
JP2009543339A5 (ja
Inventor
ジェームス ドゥヤング,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of JP2009543339A publication Critical patent/JP2009543339A/ja
Publication of JP2009543339A5 publication Critical patent/JP2009543339A5/ja
Ceased legal-status Critical Current

Links

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/18Processes for applying liquids or other fluent materials performed by dipping
    • B05D1/185Processes for applying liquids or other fluent materials performed by dipping applying monomolecular layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y30/00Nanotechnology for materials or surface science, e.g. nanocomposites
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D2401/00Form of the coating product, e.g. solution, water dispersion, powders or the like
    • B05D2401/90Form of the coating product, e.g. solution, water dispersion, powders or the like at least one component of the composition being in supercritical state or close to supercritical state
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D5/00Processes for applying liquids or other fluent materials to surfaces to obtain special surface effects, finishes or structures
    • B05D5/005Repairing damaged coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Organic Insulating Materials (AREA)
  • Treatments Of Macromolecular Shaped Articles (AREA)
  • Formation Of Insulating Films (AREA)
  • Detergent Compositions (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

表面結合したシラノール基を有するプラズマエッチングされた低誘電率の誘電材料を修復する方法は、(a)触媒と、表面結合したシラノール基との間に水素結合を形成して、表面結合したシラン化合物を形成するようにシランキャッピング剤と反応する触媒中間体を得るように、誘電材料の少なくとも片方の面を触媒にさらすか、又は、(b)触媒と、表面結合したシラノール基との間に水素結合を形成して、表面結合したシラン化合物を形成するようにシランキャッピング剤と反応する触媒中間体を得るように、超臨界溶媒、触媒及びシランキャッピング剤を含む溶液に絶縁材料の少なくとも片方の面をさらすことを含む。隣接する表面結合したシラン化合物間に水平網状構造が形成され得る。表面結合したシラン化合物のアルコキシ基との加水分解反応に触媒作用を及ぼし、シラノール基を形成するように、誘電材料を有機酸で更に処理できる。副産物である水を除去するために、シラノール基は熱によって縮合できる。
【選択図】図2
【図面】

Description

本発明は、エッチング損傷を受けた低誘電率の誘電材料を修復し且つその強度を回復する方法に関する。
半導体業界において、従来の誘電材料と比較して集積回路(IC)における配線遅延を減少するために、低誘電率の誘電縁体(LKD)膜が使用される。ICにおいて使用される誘電材料は、約4.0の誘電率(k-value)を有する二酸化ケイ素(SiO)などの無機材料を含む。他の誘電材料は、回転塗布、浸漬塗布、吹き付け塗布、化学気相成長(CVD)、ローリング、滴下及び/又は拡散により得られるスピンオン誘電体膜及びLKD膜を含む。一般に、3.0以下の誘電率を有するLKD材料が望ましい。
分極率を低下し、それにより誘電率を減少するために炭素を添加することによって、無機材料の誘電率の低下を達成できる。LKD材料に多孔質構造を追加することにより、超低誘電率(すなわち<2.4)を達成できる。そのような多孔質LKD材料の場合、孔を通して膜の内部が露出されるため、不純物が蓄積しやすい。
ICのプラズマエッチング作業、レジストアッシング作業及び/又は洗浄作業中にLKD材料が損傷される場合がある。エッチング作業中、アッシング作業中及び/又は洗浄作業中、炭素含有部分の少なくとも一部が除去されてしまう可能性があり、その結果、LKD材料の疎水性は低減する。LKD材料から炭素含有部分が除去された場合、Si‐C結合は、Si‐OH(シラノール)結合又はシラノール基と置換され、その結果、大気中からの水分子がシラノール基と強力な水素結合による相互作用を形成するので、誘電体層は疎水性を失う。約70の誘電率を有する水の存在によって、LKD材料の誘電率は著しく増加する。
更に、銅アニーリングの後、多孔質LKD材料は、高い引張り応力によって空隙を形成しやすく、そのため、デバイスの歩留まりは損なわれる。
従って、損傷を受けたLKD材料の機械的一体性を回復すると共に誘電率を回復できるように、損傷を受けたLKD材料を修復する方法が必要とされる。
第1の実施形態において、表面結合したシラノール基を有するプラズマエッチングされた低誘電率の誘電材料を修復する方法が開示される。方法は、(a)触媒と、表面結合したシラノール基との間に水素結合を形成し、それにより、触媒中間体を得るように、誘電材料の少なくとも片方の面を触媒にさらす工程と、(b)シランキャッピング剤が触媒中間体と反応し且つ表面結合したシラン化合物を形成するように、シランキャッピング剤で誘電材料を処理する工程とを含む。
第1の実施形態における方法は、(c)シラノール基を形成するために、b工程の表面結合したシラン化合物の少なくとも1つのアルコキシ基を水と反応させる工程を更に含んでもよく、触媒中間体を得るために、触媒とシラノール基との間に水素結合が形成され、触媒中間体は、b工程のシラン化合物の隣接するアルコキシ基と反応して、隣接する表面結合したシラン化合物の間に水平網状構造を形成する。
第1の実施形態において、a工程及び/又はc工程における触媒は、(i)超臨界溶媒中に存在してもよく、且つ/又は、(ii)希釈剤中に存在してもよい。希釈剤は、(i)不活性ガス、アルカン、エーテル、ケトン、テトラヒドロフラン及びそれらの混合物、及び/又は、(ii)窒素、アルゴン、ペンタン、ヘキサン、テトラヒドロフラン及びそれらの混合物より成る群から選択されてもよい。a工程及び/又はc工程における触媒は、ルイス塩基アミンであってもよい。c工程における触媒は、有機酸であってもよい。
第1の実施形態において、(i)シランキャッピング剤は、単官能アルコキシシラン、二官能アルコキシシラン及び/又は三官能アルコキシシランであってもよく、(ii)触媒は、アンモニア、第二級アミン、第三級アミン及び/又はそれらの組み合わせであってもよく、(iii)a工程及び/又はc工程は、非水性媒体の中で起こってもよい。
第1の実施形態において、b工程におけるシランキャッピング剤は、超臨界溶液中に存在してもよい。超臨界溶液は、超臨界二酸化炭素を含んでもよい。
第1の実施形態において、c工程における水は、(i)約0.01wt%〜約0.10wt%の含水率を有する超臨界二酸化炭素から得られてもよく、且つ/又は、(ii)c工程中に、約0.01wt%〜約0.10wt%の水を反応容器の中へ注入することにより得られてもよい。
第1の実施形態において、(i)a工程に先立って、低誘電率の誘電材料のプラズマエッチングが実行され、(ii)a工程に先立って、低誘電率の誘電材料のフォトレジストアッシングが実行され、(iii)工程に先立って、低誘電率の誘電材料の洗浄が実行され、且つ/又は、(iv)c工程に続いて、低誘電率の誘電材料へのバリア層の蒸着が実行される。
第2の実施形態において、表面結合したシラノール基を有するプラズマエッチングされた低誘電率の誘電材料を修復する方法が開示される。方法は、(a)触媒と、表面結合したシラノール基との間に水素結合を形成し、それにより、シランキャッピング剤と反応し且つ表面結合したシラン化合物を形成する触媒中間体を得るように、超臨界溶媒、触媒及びシランキャッピング剤を含む溶液に誘電材料の少なくとも片方の面をさらす工程を含む。
第2の実施形態における方法は、(b)シラノール基を形成するために、表面結合したシラン化合物の少なくとも1つのアルコキシ基を水と反応させる工程を更に含んでもよく、触媒中間体を得るために、触媒とシラノール基との間に水素結合が形成され、且つ、触媒中間体は、シラン化合物の隣接するアルコキシ基と反応して、隣接する表面結合したシラン化合物間に水平網状構造を形成する。
第2の実施形態において、(i)a工程及び/又はb工程における触媒は、ルイス塩基アミンであってもよく、且つ/又は、(ii)b工程における触媒は、有機酸であってもよい。ルイス塩基アミンは、アンモニア、第二級アミン、第三級アミン及び/又はそれらの組み合わせであってもよい。
第2の実施形態において、(i)シランキャッピング剤は、単官能アルコキシシラン、二官能アルコキシシラン及び/又は三官能アルコキシシランであってもよく、(ii)シランキャッピング剤は、n‐プロピルトリメトキシシラン、メチルトリメトキシシラン、ジメチルジメトキシシラン、トリメチルメトキシシラン、n‐プロピルトリエトキシシラン及びジメチルジエトキシシランより成る群から選択されてもよく、(iii)溶液は、非水性媒体中にあってもよく、且つ/又は、(iv)超臨界溶媒は、超臨界二酸化炭素であってもよい。
第2の実施形態は、副産物としてアルコールを形成することを更に含む。第2の実施形態における水は、(i)約0.01wt%〜約0.10wt%の含水率を有する超臨界二酸化炭素から得られてもよく、且つ/又は、(ii)方法が実行されている間に、約0.01wt%〜約0.10wt%の水を反応容器の中へ注入することにより得られてもよい。
第2の実施形態において、(i)a工程に先立って、低誘電率の誘電材料のプラズマエッチングが実行され、(ii)a工程に先立って、低誘電率の誘電材料のフォトレジストアッシングが実行され、(iii)a工程に先立って、低誘電率の誘電材料の洗浄が実行され、且つ/又は、(iv)b工程に続いて、低誘電率の誘電材料へのバリア層の蒸着が実行される。
第3の実施形態において、表面結合したシラノール基を有するプラズマエッチングされた低誘電率の誘電材料を修復する方法が開示される。方法は、(a)触媒と、表面結合したシラノール基との間に水素結合を形成し、それにより、シランキャッピング剤と反応し且つ表面結合したシラン化合物を形成する触媒中間体を得るように、超臨界溶媒、触媒及びシランキャッピング剤を含む溶液に誘電材料の少なくとも片方の面をさらす工程と、(b)加水分解によってシラノール基を形成するために、表面結合したシラン化合物のアルコキシ基を有機酸及び水と反応させる工程とを含む。
第3の実施形態における方法は、(c)副産物として水を形成し、それにより、表面結合したシラン化合物間にほぼ完成された水平網状構造を形成するために、b工程のシラノール基を熱によって縮合する工程を更に含んでもよい。
第3の実施形態におけるc工程は、(i)約100℃〜約350℃の温度で約5秒から約5分実行されるか、又は、(ii)約175℃〜約300℃の温度で約30秒から約60秒実行されてもよい。更に、第3の実施形態におけるc工程は、加熱板上で実行されてもよい。
第3の実施形態において、(i)a工程における触媒は、超臨界溶媒中に存在してもよく、且つ/又は、(ii)a工程における触媒は、希釈剤中に存在してもよい。希釈剤は、(i)不活性ガス、アルカン、エーテル、ケトン、テトラヒドロフラン及びそれらの混合物、及び/又は、(ii)窒素、アルゴン、ペンタン、ヘキサン、テトラヒドロフラン及びそれらの混合物より成る群から選択されてもよい。
第3の実施形態において、(i)シランキャッピング剤は、単官能アルコキシシラン、二官能アルコキシシラン及び/又は三官能アルコキシシランであってもよく、(ii)a工程における触媒は、ルイス塩基アミンであってもよく、(iii)a工程における触媒は、アンモニア、第二級アミン、第三級アミン及び/又はそれらの組み合わせであってもよく、(iv)a工程は、非水性媒体の中でなされてもよく、且つ/又は、(v)b工程における水は、(A)約0.01wt%〜約0.10wt%の含水率を有する超臨界二酸化炭素から得られてもよく、且つ/又は、(B)b工程中に、約0.01wt%〜約0.10wt%の水を反応容器の中へ注入することにより得られてもよい。
第3の実施形態において、超臨界溶媒は、超臨界二酸化炭素を含んでもよい。超臨界二酸化炭素に対する触媒のモルパーセントは約0.01〜約100であり、さらに好ましくは、超臨界二酸化炭素に対する触媒のモルパーセントは約0.1〜約10である。超臨界二酸化炭素に対するシランキャッピング剤のモルパーセントは約0.01〜約10であり、さらに好ましくは、超臨界二酸化炭素に対するシランキャッピング剤のモルパーセントは約0.5〜約3.0である。
第3の実施形態において、(i)a工程に先立って、低誘電率の誘電材料のプラズマエッチングが実行され、(ii)a工程に先立って、低誘電率の誘電材料のフォトレジストアッシングが実行され、(iii)a工程に先立って、低誘電率の誘電材料の洗浄が実行され、且つ/又は、(iv)c工程に続いて、低誘電率の誘電材料へのバリア層の蒸着が実行される。
当該技術において周知であるシランの加水分解蒸着を示す図である。 シラン化合物を形成するためにルイス塩基アミンの存在の下での表面結合したSi‐OH基とn‐プロピルトリメトキシシランとの反応(A)と、アルコキシ基のSi‐OH基への変換(B)と、隣接するシラン化合物間における水平網状構造の形成(C)を示す図である。 有機酸の存在の下での隣接するシラン化合物間における水平網状構造の形成(A−D)を示す図である。 アミン触媒を使用した場合のSi‐OHキャッピングの反応効率の向上を示す図である(Gelest(登録商標)のパンフレット「SilaneCoupling Agents: Connecting Across Boundaries」、15頁により提供されたデータ)。 プラズマ損傷を受けた未処理の誘電体のフッ化水素酸(HF)エッチングによるエッチバックアンダーカッティングを伴う250‐nmトレンチの走査型電子顕微鏡(SEM)画像を上部に示し、超臨界二酸化炭素で処理又は修復した絶縁体のHFアンダーカットのSEM画像を下部に示す図である。
本明細書において使用されるように、「シラン化合物」は、一般にSiAにより定義される化学式を有するあらゆる化合物を含む。化学式中、「A」は、1つのアルコキシ基の中に少なくとも1つのAが存在するという条件の下におけるアルコキシ基及び/又はアルキル基の任意の組み合わせであってよい。
本明細書において使用されるように、「アルキル」は、約1個〜約8個の炭素原子の線状飽和一価炭化水素基又は約3個〜約8個の炭素原子の分岐飽和一価炭化水素基を含む。アルキル基の例は、メチル、エチル、n‐プロピル、イソプロピル、n‐ブチル、イソブチル、第2級ブチル、t‐ブチル、n‐ペンチルなどの基を含むが、それらに限定されない。
本明細書において使用されるように、「アルコキシ」は、酸素原子に結合された先に定義されたアルキル基を含む。
プラズマエッチング損傷又はレジストアッシング損傷を受けたLKD材料を表面結合したSi‐OH基と反応させることにより修復するために、アルコキシシランキャッピング剤を利用する方法が開示される。
LKD材料は、NANOGLASS(登録商標)及びHOSP(登録商標)(Honeywell International Inc.より市販の製品)、Black Diamond(登録商標)(AppliedMaterials, Inc.より市販されている)などの炭素がドープされた酸化物、Coral(登録商標)(Novellusより市販されている)、Aurora(登録商標)(ASMより市販されている)、Orion(登録商標)(Trikonより市販されている)、LKD5109(JSRより市販されている)及びNano-ClusteredSilica(Fujitsuより市販されているNCS)を含んでよいが、それらに限定されない。
アルコキシシランキャッピング剤などの化合物の使用と関連する問題の1つは、キャッピングの安定性(consistency)及び金属酸化物表面の均一性である。これは、アルコキシシランと、表面結合したSi‐OH基との化学反応において水が果たす重要な役割に関連する。図1に示されるように、加水分解工程において表面結合したSi‐OH基との縮合に先立ってアルコキシ基を加水分解するために、水が必要とされる。
表面上の水素結合した水の様々に異なるレベルは、大気中の水分により促進されて、反応効率に直接影響を及ぼす。触媒を使用しない場合、アルコキシシランと、表面結合したSi‐OH基との化学反応は、幾分かの水の存在を必ず必要とする。更に、安定した結果が望まれる場合、試料ごとに、同一のレベルの水和が維持されなければならない。
更に、縮合工程(図1に示される)は、通常150℃〜210℃という高温を必要とし、これは、高い温度が圧力(低圧であることが望ましい)と流体密度(可搬性向上の面からは高密度であることが望ましい)とトレードオフとなる超臨界CO(SCCO)環境においては特に大きな問題であるといえる。
加水分解工程なしにアルコキシシランと、表面結合したSi‐OH基との間の縮合の速度を動力学的に向上するために、触媒として弱塩基(すなわちヒドロキシル基のない塩基)又はルイス塩基を使用できる。
一実施形態において、LKD材料の上流側前処理は、SCCO中でアルコキシシランキャッピング剤によってSi‐OH基をキャッピングする前にLKD材料を処理するために、触媒としてニートルイス塩基アミンを使用することを含む。
別の実施形態において、上流側前処理工程は、LKD材料を処理するために、触媒として作用するルイス塩基アミンを有する希釈剤を使用することを含む。
希釈剤は、窒素及び/又はアルゴンなどの不活性ガス、アルカン、エーテル及びケトンなどの有機溶媒、並びにそれらの種々の組み合わせより成る群から選択されてもよい。アルカンは、ペンタン、ヘキサン及びそれらの組み合わせより成る群から選択されるのが好ましい。更に好適な実施形態において、有機溶媒はテトラヒドロフランであってもよい。
ニートルイス塩基アミン又は希釈剤中のルイス塩基アミンのいずれかによる上流側前処理中、ニートルイス塩基アミン及び/又は希釈剤中のルイス塩基アミンの物理的状態は、液体又は気体のいずれであってもよい。
流体状態である場合、ニートルイス塩基アミンは超臨界形態であってもよく、希釈剤中のルイス塩基アミンは、超臨界形態であってもよく、あるいは周囲温度及び周囲圧力の条件の下で液体形態であってもよい。
気体状態である場合、ニートルイス塩基アミンは、周囲温度及び周囲圧力の条件の下で気体であってもよいし、あるいはニートルイス塩基アミンを気体に変換するために温度及び圧力を調整することにより気体に変換されてもよい。更に、気体状態である場合、希釈剤中のルイス塩基アミンは、周囲温度及び周囲圧力の条件の下で気体の混合物であってもよいし、あるいはルイス塩基アミン及び希釈剤を気体の混合物に変換するために温度及び圧力を調整することにより、気体の混合物に変換されてもよい。
前処理工程は、約0℃〜約200℃の温度、約0.5バール〜150バールの圧力及び約5秒〜約30分の時間などの任意の適切な温度、圧力及び時間で実行されてもよい。
定義上、電子ドナー又は求核試薬であるルイス塩基アミンは、アンモニアなどの第一級アミン、第二級アミン、第三級アミン及び芳香族アミンより成る群から選択されるのが好ましい。ルイス塩基アミンはアンモニアであるのが更に好ましい。ルイス塩基アミンは、トリエチルアミン、ジエチルアミン、トリメチルアミン、ジメチルアミン及びアンモニアより成る群から選択されるのが最も好ましい。
ルイス塩基アミンは、SCCOに対して約0.1〜約100%の範囲の任意の適切なモル百分率、更に好ましくは約0.1〜約10%の範囲のモル比で使用されてもよい。
図2に示すように、ルイス塩基アミンは、Si‐OH基と共に触媒中間体を形成することにより触媒として作用する。ルイス塩基アミンとSi‐OH基との間に水素結合が形成されることから、触媒中間体は形成される。
この水素結合の形成は、シランキャッピング剤によってSi‐OH基をキャッピングする場合の反応を促進する。触媒中間体自体は、シランキャッピング剤と極めて反応しやすい。反応は、副産物として対応するアルコールを生成し、アミンは遊離されて、別のSi‐OH基と再び水素結合する。
シランキャッピング剤がSCCO中に存在し、超臨界流体の表面張力が零であるため、シランキャッピング剤は、損傷を受けた多孔質LKD材料の表面全体をほぼ確実に湿潤する。SCCOは、約10〜250バールの圧力及び約0〜250℃の温度であってもよい。Mark Wagner他の米国特許出願公開第2005/0227183号公報により明示される通り、当該技術において、SCCOの調整可能溶媒としての使用及び特性は周知である。
シランキャッピング剤は、液化ガス溶液又は超臨界溶液などの濃厚ガス溶液中に存在してもよい。濃厚ガス溶液は、液体又は超臨界のCO、液体又は超臨界の六フッ化イオウ(SF)、液体又は超臨界のハイドロフルオロカーボン(HFC)、ハイドロフルオロエーテル(HFE)、あるいは液体又は超臨界のプロパンなどのC〜C炭化水素を含んでもよい。あるいは、シランキャッピング剤は、上記の物質の混合物中に存在してもよい。シランキャッピング剤は、液体二酸化炭素又は超臨界二酸化炭素中に存在するのが好ましい。
いくつかの実施形態において、SCCOは、共溶媒、キレート化剤及び/又は界面活性剤などの1つ以上の添加剤を含んでもよい。共溶媒、キレート化剤及び界面活性剤は、De Young他の米国特許第6,602,351号公報に記載されている。
共溶媒は、ハイドロフルオロカーボン、ハイドロフルオロエーテル、六フッ化イオウ、プロパン、ブタン、ペンタン、ヘキサン、メタン、プロパン、ジメチルホルムアミド、N‐メチルピロリドン及びジエチルエーテルより成る群から選択されるのが好ましい。共溶媒は、アセトン、イソプロピルアルコール、ジメチルホルムアミド及びジメチルスルホキシドより成る群から選択されるのが更に好ましい。
キレート化剤は、カルボン酸より成る群から選択されるのが好ましい。キレート化剤は、シュウ酸及びアミノカルボン酸などの二塩基カルボン酸より成る群から選択されるのが更に好ましい。
界面活性剤は、第四級アンモニウム塩及びリン酸エステルなどの非イオン化合物、ポリエチレン、ポリプロピレン、ポリアルキルオキシド材料のトリブロックコポリマー、トリブロック中性界面活性剤及びポリオキシエチレンアルキルエーテルより成る群から選択されるのが好ましい。
触媒中間体とシランキャッピング剤との間にそのような求核‐求電子相互作用が存在することによって、シラン化合物及び対応するアルコールを形成するための、Si‐OH基とシランキャッピング剤との反応は促進される。
キャッピング反応において形成されるメタノールなどのアルコールは、廃物として廃棄できるか又は任意の周知の分離方法を使用することにより分離できる副産物である。
上述のキャッピング反応において形成されたシラン化合物は、先に反応していた表面結合したSi‐OH基に由来する酸素原子とシランキャッピング剤に由来するケイ素原子との間に形成される共有結合によって、LKD材料の表面のSi‐OH基を有効に「キャッピング」し、安定した表面結合した最終シラン化合物を形成する。このキャッピング反応中、Si‐O:基に由来するHは、アルコキシシランのアルコキシ基の1つと共に副産物であるアルコールを形成し、それにより、Si‐O:は、この時点で1つのアルコキシ基が欠落しているシラン剤のケイ素原子との間に共有結合を形成し、その結果、シラン化合物が形成される。それらのシラン化合物は、表面結合したSi‐OH基をキャッピングすることにより表面結合される。
上述のキャッピング反応は、Si‐OH基を垂直方向にキャッピングするシラン化合物に変換することにより、損傷を受けたLKD材料を有効に修復する。
触媒中間体の形成は、キャッピング反応が先に説明した加水分解反応(図1に示される)より速い速度で進行する第1の理由である。ルイス塩基アミンを使用する場合、キャッピング反応の速度は、先に説明した加水分解反応(図1に示される)より少なくとも一桁速いと考えられる。
先に説明した前処理工程の間に、触媒中間体の形成は促進される。従って、ニートルイス塩基アミン及び/又はSCCO中のルイス塩基アミンによる前処理工程が利用される場合、キャッピングの運動速度及び均一性の双方に関してキャッピング反応を向上できる。
理論に縛られることは望ましくないが、ルイス塩基アミンは、開示される実施形態のキャッピング反応において触媒として作用する。定義上、触媒は、反応中に消費されることなく反応の速度を増す物質である。
従って、キャッピング反応中、同一の触媒機能を実行するためにルイス塩基アミンを再利用できるので、Si‐OH基のキャッピングに関連する費用は低減される。しかし、アンモニアは安価なガスであるため、前処理工程の後に、洗い流されてもよい。
シランキャッピング剤は、多官能アルコキシシランであるのが好ましい。シランキャッピング剤は、単官能アルコキシシラン、二官能アルコキシシラン及び/又は三官能アルコキシシランであるのが更に好ましい。
シランキャッピング剤は、n‐プロピルトリメトキシシラン(n‐PTMS)、メチルトリメトキシシラン(MTMS)、ジメチルジメトキシシラン(DMDMS)、トリメチルメトキシシラン(TMMS)、n‐プロピルトリエトキシシラン(n‐PTES)、ジメチルジエトキシシラン(DMDES)より成る群から選択されるのが好ましい。シランキャッピング剤は、n‐PTMS又はMTMSであるのが最も好ましい。
シランキャッピング剤は、SCCOに対して約0.01〜約10%の範囲など、任意の適切なモル百分率で使用されてもよく、約0.1〜約3.0%の範囲であるのが更に好ましい。
例示を目的として示される式(i)は、遊離XSi‐OH基とn‐PTMS(すなわち、シランキャッピング剤の一例)との反応を要約する。
表面結合したSi‐OH基は、C、N、OなどのようなHとは異なる部分に結合されたSiを有してもよいので、式(i)に示されるXSi‐OHは、表面結合したSi‐OH基と同一である必要はない。式(i)に示されるXSi‐OHにおける「X」は、水素、アルキル基などであってもよい。
上述の前処理工程及び/又はキャッピング反応は、1つの処理チャンバの中で起こってもよく、又は一連のいくつかの処理チャンバの中で起こってもよい。
好適な一実施形態において、シラン化合物は、水及び任意にルイス塩基アミンが存在するところで、隣接するSi‐OH基の間で起こる縮合反応によって水平網状構造を形成してもよい。それらの隣接するSi‐OH基は、キャッピング反応の一部として表面結合されたキャッピング剤の残留アルコキシ基の加水分解から得られる。あるいは、キャッピングされたシランの単一のSi‐OH基が隣接するキャッピングされたシランのアルコキシ基と反応する場合、アミン触媒の存在の下で水平網状構造が形成されてもよい。隣接するシラン化合物間のこの水平網状構造の形成は、上述の前処理工程及びキャッピング工程に後続する工程において起こるのが好ましい。
シラン化合物の残留アルコキシ基を有効な量の水と反応させて、アルコキシドをSi‐OH基に加水分解し、その後、Si‐OH基を縮合し、副産物として水を生成することにより、隣接するシラン化合物間の水平網状構造形成が達成されてもよい。この場合にも、Si‐OH基と加水分解されていないアルコキシシランとの反応を加速するために、ルイス塩基アミンが触媒として作用してもよい。この縮合反応も、副産物として対応するアルコールを生成する。
超臨界流体を使用する場合、(i)使用される二酸化炭素のグレードが約0.01wt%〜約0.10wt%の含水率、更に好ましくは約0.07wt%の含水率を有する飲料グレードの二酸化炭素であるSCCOを使用し、且つ/又は、(ii)c工程中に約0.01wt%〜約0.10wt%、更に好ましくは約0.07wt%の水を反応容器の中へ注入する、などの任意の適切な方法により、処理チャンバに水が導入されてもよい。あるいは、誘電材料自体から大気からの吸収又は前処理工程のいずれかにより水が得られてもよい。
処理チャンバの中へ水が導入された後、水は、シラン化合物の少なくとも1つのアルコキシ基と反応して、アルコキシ基をSi‐OH基に変換し、副産物としてアルコールを形成する。
Si‐OH基は、先に説明したのと同様に、ルイス塩基アミンと共に触媒中間体を形成する。触媒中間体は、水平網状構造形成工程において、隣接するアルコキシ基と反応して、隣接するシラン化合物を連結する‐Si‐O‐Si‐結合を形成する。この水平網状構造形成工程は、副産物としてアルコールを更に生成する。
LKD材料の表面にシラン化合物を形成するための反応スキームは、図2のAに示される。この場合、表面結合したSi‐OH基は、ルイス塩基アミンと共に触媒中間体を形成し、触媒中間体は、n‐PTMSと反応してシラン化合物を形成する(先の説明を参照)。
図2のB及びCは、シランキャッピング剤の一例としてn‐PTMSを使用することによる前述の水平網状構造形成を説明する。図2のBにおいて、シラン化合物は水と反応し、隣接するシラン化合物のメトキシ基のうち少なくとも1つのメトキシ基は加水分解され、Si‐OH基に変換される。その間、副産物としてメタノールが生成される。
図2のCにおいて、Si‐OH基は、先に説明したのと同様に、ルイス塩基アミンと共に触媒中間体を形成する。触媒中間体は、水平網状構造形成工程において、隣接するメトキシ基と反応し、隣接するシラン化合物を連結する‐Si‐O‐Si‐結合を形成する。この水平網状構造形成工程も、副産物としてメタノールを生成する。
従って、シラン化合物は水平網状構造を形成して、二次元構造を形成できる。水平網状構造を形成したキャッピングされたシラン化合物は、剛性三次元構造を形成し、この構造は、LKD材料の誘電率を回復するのみならず、LKD材料の機械的強度をも回復する。
別の実施形態において、表面結合したSi‐OH基を有するプラズマエッチングされた低誘電率の誘電材料を修復する方法が開示される。この方法は、ルイス塩基アミン、シランキャッピング剤及びSCCOに誘電材料の少なくとも片方の面をさらすことを含む。ルイス塩基アミンと、表面結合したSi‐OH基との間に水素結合が形成され、シランキャッピング剤は、水素結合したSi‐OH基と反応して、シラン化合物を形成する(図2のAを参照)。先に説明したように、水又はルイス塩基アミンの存在の下で水平網状構造を形成したシラン化合物が任意に形成されてもよい(図2のB)及びC)を参照)。
別の実施形態において、水と、キャッピングされ且つ/又は水平網状構造を形成したLKD材料に残留する残留アルコキシ基のほぼ大半の部分との反応に触媒作用を及ぼすために、有機酸が使用されてもよい。本実施形態において、有機酸は、図3のAに示されるように、水と残留アルコキシ基との加水分解反応に触媒作用を及ぼし、その結果、図3のBに示されるように、Si‐OH基が生成される。その後、隣接するシラン化合物のSi‐OH基は縮合され、先に説明され且つ図3のC及びDに示されるような水平網状構造を形成する。
最初の触媒キャッピング工程の後、有機酸は、SCCOの中でLKD材料に連続して添加されてもよい。LKD材料が有機酸によって処理された後、LKD材料は、SCCOで洗浄され、Si‐OH基の縮合を促進するために加熱されてもよい。
有機酸は、SCCO中で、約0.01〜約5%の範囲など、任意の適切なモル百分率、更に好ましくは0.05〜1.0%のモル比で使用されてもよい。
有機酸は、約7まで、更に好ましくは約4まで、最も好ましくは約1までのpKaを有する任意の有機酸であってもよい。
有機酸は、酢酸、トリフルオロ酢酸、トリクロロ酢酸、クエン酸、他の完全ハロゲン化弱酸又は部分ハロゲン化弱酸及びそれらの種々の組み合わせより成る群から選択されてもよい。好適な一実施形態において、有機酸は水溶液として使用されてもよい。
有機酸処理により触媒作用が及ぼされた加水分解反応の結果としてSi‐OH基が得られた後、Si‐OH基は熱によって縮合されて、‐Si‐O‐Si‐連結を形成してもよい。
Si‐OH基を有するLKD材料(通常は半導体ウェハ上の1つ以上の層)は、約100℃〜約350℃の温度で約5秒〜約5分、更に好ましくは約175℃〜約300℃の温度で約30秒〜約60秒、焼成板又は加熱板の上に置かれる。熱処理は、水を除去することにより全てのSi‐OH基を縮合し、‐Si‐O‐Si‐結合を形成する。
別の実施形態において、SCCOの中のような濃厚ガス環境の中で、副産物として水を生成する一方、Si‐OH基が縮合されて、‐Si‐O‐Si‐結合が形成されてもよい。
最終処理されたLKD材料は、キャッピング処理によって、表面結合したSi‐OH基をほぼ含まず(図2のAを参照)、水平網状構造を形成して、‐Si‐O‐Si‐結合を形成でき(図3のCを参照)、且つ残留アルコキシ基をほぼ含まない(図3のA及びB)を参照)。
有機酸処理は、キャッピング工程及び水平網状構造形成工程と同一の処理チャンバの中で実行されてもよく、又は別個の処理チャンバの中で実行されてもよい。副産物である水を除去するSi‐OH基の縮合は、超臨界流体チャンバの中で実行されてもよく、又は処理チャンバの外側で加熱板又は焼成板の上で実行されてもよい。
前述のように、弱塩基(すなわちヒドロキシル基のない塩基)又はルイス塩基は、図4に示す通り、先に説明され且つ図1に示される加水分解工程なしに、アルコキシシランと、表面結合したSi‐OH基との間の反応速度を動力学的に向上する(Gelest(登録商標)のパンフレット「SilaneCoupling Agents: Connecting Across Boundaries」、15頁により提供されるデータ)。これは、キャッピング反応中の水の役割を無効にし、それにより、不十分な湿度制御に起因する非安定性を制限する。
ルイス塩基触媒により誘起されるキャッピング反応に要求される温度は、蒸気相修復処理及び液体層修復処理の場合と比較して低いため、キャッピング効率の向上(すなわち少なくとも一桁の効率改善)に加えて、SCCOの流体密度を更に高くし且つその搬送特性を改善するという結果をもたらし、それにより、反応速度の増加及びナノサイズ多孔質LKD材料の修復の向上に貢献する。
先に説明したようなルイス塩基アミン触媒により誘起されるキャッピング反応は、a)LKD材料をより完全に且つ安定して修復でき、b)修復温度が低いために、SCCOを含む処理工程に必要とされる圧力を低くでき、c)水分制御の必要を最小限に抑え、且つ/又は、d)先に説明した加水分解反応(図1に示される)と比較して修復処理を迅速化できる。
上述の実施形態においてSCCOを使用することの利点の1つは、SCCOが存在するところでキャッピング反応を実現できることである。従って、SCCO中で、ルイス塩基アミン及びシランキャッピング剤の双方をLKD材料に同時に添加できる。
先に説明した実施形態において、SCCOは好適な超臨界溶媒であるが、SCCOと同一の機能を実行するために、他の任意の適切な超臨界溶媒が使用されてもよい。先に説明した実施形態において使用できる他の超臨界溶媒は、超臨界形態であり且つLKD材料の孔に浸透できる任意の溶媒を含む。超臨界溶媒は、実質的に無極性であるのが好ましい。
一実施形態において、上述のキャッピング処理を実現する場所は、ICの製造における誘電体エッチングの下流側、エッチング後洗浄の下流側及び/又は金属バリア蒸着の上流側であってもよい。
上述の処理は、単一のウェハとして又はバッチプロセスとして実行できる。
上述の処理において使用できる機器は、超臨界条件に耐えられる機器であれば、シングルウェハ処理に従来使用されてきた任意の機器であってよい。例えば、引用することによってその内容をここに合体する、米国特許第6,561,220号公報、第6,736,149号公報及び第6,486,078号公報を参照。
更に、表面結合したシラノール基を有するプラズマエッチングされた低誘電率の誘電材料を修復する上述の方法は、種々のウェハ処理工程の上流側又は下流側で実行できる。
例えば、低誘電率の誘電材料のプラズマエッチングは、種々の種類のエッチングガス成分を使用する種々の種類のプラズマ反応炉において実行できる。例えば、本出願と同一の譲受人に譲渡された名称「Use of ammonia for etching organic low-k dielectrics」の米国特許第6,893,969号公報、名称「Uniqueprocess chemistry for etching low-k materials」の米国特許第6,841,483号公報、名称「Use of hydrocarbon addition for the elimination of micromaskingduring etching of organic low-k dielectrics」の米国特許第6,620,733号公報及び名称「Clean chemistry low-k organic polymer etch」の米国特許第6,337,277号公報を参照。更に、プラズマエッチング処理の説明の例として、名称「Trench etch process for low k dielectrics」の米国特許第6,909,195号公報及び名称「Selectiveetching of carbon-doped low-k dielectrics」の米国特許出願公開第2005/0026430号公報を参照。
低誘電率の誘電材料のプラズマエッチングに続いて、種々のフォトレジストストリッピングガス成分を使用する種々の種類のプラズマチャンバにおいて、フォトレジストアッシングを実行できる。フォトレジストアッシング処理は、「ドライ」「クリーニング」工程と呼ばれる場合が多い。例えば、本出願と同一の譲受人に譲渡された名称「Method for post-etch and strip residue removal on coral films」の米国特許第6,949,411号公報及び名称「Post-etchphotoresist strip with O2 and NH3 for organosilicateglass low-K dielectric etch applications」の米国特許第6,777,344号公報を参照。更に、フォトレジストアッシング処理の説明の例として、名称「Plasma processing method and apparatus」の米国特許出願公開第2005/0230351号公報及び名称「Fully dry post-via-etch cleaning method for a damascene process」の米国特許第6,323,121号公報を参照。
一般的洗浄処理は、低誘電率の誘電体の修復処理の上流側で実行できる。例えば、本出願と同一の譲受人に譲渡された名称「Method and apparatus for cleaning low K dielectric and metalwafer surfaces」の米国特許第6,277,203号公報を参照。更に、一般的洗浄処理の説明の例として、名称「Method for cleaning microstructure」の米国特許出願公開第2005/0279381号公報及び名称「Method of cleaning a copper/porous low-k dual damascene etch」の米国特許第6,457,477号公報を参照。
バリア層蒸着処理は、低誘電率の誘電体の修復処理の下流側で実行できる。例えば、バリア層蒸着処理の説明の例として、名称「Precursor compositions for forming tantalum-containing films,and tantalum-containing barrier films and copper-metallized semiconductordevice structures」の米国特許出願公開第2006/0102895号公報及び名称「Integration of ALD tantalum nitride for copper metallization」の米国特許第7,049,226号公報を参照。
表面結合したシラノール基を有するプラズマエッチングされた低誘電率の誘電材料を修復する上述の方法に加えて、上流側処理及び下流側処理の種々の組み合わせを実行できる。
[実施例]
以上の説明に加えて、多孔質低誘電率膜から構成されるパターニングされた誘電体層を含むシリコンウェハを劈開し、一連のウェハクーポンに対して種々の処理工程を実行する。複数のダイに劈開する前に、標準反応性イオンエッチング(RIE)処理を使用して、ウェハをパターニングする。このエッチング処理は、誘電体層を被覆するフォトレジストにある開口部のパターンを通して、ウェハに特徴形状をプラズマエッチングする。パターニング後、酸素を含有するプラズマを使用して又は流体系処理により、残留するフォトレジストが除去される。RIE処理及びプラズマストリップ処理は、パターニングされた領域にごく近接して誘電体層を化学的に変化させ、その結果、誘電率値に望ましくない増加が起こる。この損傷は、膜の機械的な面に更に影響すると共に、その後に形成される金属層に悪影響を及ぼすと考えられる。
以下に説明される実施例において使用されるウェハクーポンは、互いにほぼ同一である。
[実施例1]超臨界流体処理によるプラズマエッチング損傷を受けた低誘電率の誘電体の修復、ウェハクーポン
2つの流体入口、1つの流体出口、熱電対、ヒータ、圧力計並びに関連する弁及び制御装置が装備され、約160℃に加熱された圧力容器の中にウェハクーポンを配置した。SCCOとn‐PTMSとの混合物を約175バールの圧力まで容器に添加した。SCCOに対するn‐PTMSのモル比は、約0.05であった。約60分間、容器を上記の温度及び圧力に保持した。その後、入口の一方を通してほぼ純粋なSCCOを添加すると同時に、一定の圧力が維持されるように出口から流体を除去した。ほぼ純粋なSCCOの約10回の流体ボリューム交換が完了した後、SCCOの添加を停止し、容器を大気圧条件まで通気させた。その後、処理済みウェハクーポン(修復済み試料)及び同一の未処理クーポン(未処理試料)を1%のHF水溶液の中に60秒間入れた。取り出した後、直ちに、脱イオン(DI)水によってクーポンを洗浄した。
乾燥後、各クーポンを横断面に沿って切断し、SEMを使用して解析した。各クーポンのHF酸エッチングによるアンダーカッティングに関して、幅約250nmのトレンチを測定した。平均して、未処理試料は、約50nmのアンダーカッティングを有することが測定された。平均して、修復済み試料は、約10nmのアンダーカット値を示した。評価上、これは、修復済み試料が少なくとも約80%修復されたことを示す。
図5は、プラズマ損傷を受けた未処理の誘電体のHFエッチングによるエッチバックアンダーカッティングを伴う約250nmのトレンチのSEM画像を上部に示し、HFエッチングによるHFアンダーカットが減少された修復済み誘電体のSEM画像を下部に示す。
[実施例2]修復処理の時間依存性
先に実施例1において説明したようにクーポンを処理した。しかし、SCCO及びn‐PTMSを添加した後、試料を約160℃の温度及び約175バールの圧力に約10分間保持したのみであった。先に説明したように純SCCOによって洗浄した後、約1wt%のHF水溶液によって、別の未処理クーポンと共に試料をエッチングした。
SEMによる検査及び測定は、未処理クーポンの場合には約50nmの平均アンダーカット値を示し、処理済みクーポンの場合には約41nmの平均アンダーカット値を示した。これは、約20%の総修復率を示す。
[実施例3]エッチング損傷を受けた低誘電率の誘電体の超臨界流体処理による塩基触媒修復
ウェハクーポンを圧力容器の中に配置し、圧力容器を約160℃まで加熱した。SCCOとトリエチルアミンとの混合物を圧力容器に添加し、圧力を約175バールに調整した。SCCOに対するトリエチルアミンのモル分率は、約0.03であった。容器を上記の圧力及び温度に約2分間保持した後、圧力を約175バールに維持しつつ、トリエチルアミンを除去するために容器を純SCCOによって洗浄した。遊離アミンを確実に除去するために十分な流体の交換が行われた後、SCCOに対して約0.05のモル比でn‐PTMSを圧力容器に添加した。容器を上記の温度及び圧力に約10分間維持し、その後、圧力を約175バールに維持しつつ、純SCCOによって容器を再び洗浄した。通気した圧力容器から、処理済みウェハクーポンを取り出した。
処理済みクーポン及び同一の未処理クーポンを約1wt%のHF水溶液中で約60秒間エッチングした。乾燥後、SEMにより試料を解析した。未処理クーポンは、50nmの平均アンダーカット値を有し、処理済みクーポンは、27nmの平均アンダーカット値を有していた。評価上、これは少なくとも約50%の修復率を示した。
[実施例4]エッチング損傷を受けた低誘電率の誘電体の超臨界流体処理による酸‐塩基触媒修復
ウェハクーポンを圧力容器の中に配置した。SCCO中でクーポンをトリエチルアミンによって約2分間前処理し、その後、純SCCOによってクーポンを洗浄した。前処理工程及び洗浄工程の後、SCCO中でクーポンをn‐PTMSによって約5分間処理し、その後、SCCOによって洗浄した。次に、トリフルオロメチル酢酸及び水の約95wt%混合物を少量含有するSCCOによってクーポンを処理した。SCCOに対する弱酸のモル分率は、約0.01であった。クーポンを酸混合物によって約5分間処理した後、ほぼ純粋なSCCOによってクーポンを洗浄した。通気した圧力容器から処理済みクーポンを取り出した後、処理済みクーポン及び同一の未処理クーポンを約1wt%のHF水溶液中で約60秒間エッチングした。乾燥後、処理済みクーポン及び未処理クーポンをSEMにより解析し、測定した。未処理クーポンは、約50nmの平均アンダーカット値を有し、処理済みクーポンは、約10nmの平均アンダーカット値を有していた。評価上、これは少なくとも約80%の修復率を示した。
以上説明された処理済みクーポンは、先に実施例1において説明した方法を使用して少なくとも約80%の修復率を得るために必要とされる時間の約3分の1の時間で、少なくとも約80%の修復レベルに到達したことが判定された。
[実施例5]エッチング損傷を受けた低誘電率の誘電体の触媒超臨界二酸化炭素による急速修復
多孔質の低誘電率の膜から構成されるパターニングされた誘電体層を含むシリコンウェハを、超臨界流体の中でウェハを処理するように設計された圧力容器の中に配置した。ウェハを一連の超臨界流体混合物に、流体混合物ごとにそれぞれ約1分ずつさらした。流体混合物にさらすごとに、その後、静圧で約1分間、ほぼ純粋なSCCOによって洗浄した。第1の流体混合物はSCCO中のトリエチルアミンであり、それに続く流体混合物は、SCCO中のn‐PTMS及びSCCO中のトリフルオロ酢酸であった。SCCOによる最終洗浄の後、容器を通気し、ウェハを取り出し、約275℃の加熱板の上に約2分間置いた。
その後、修復済みウェハを更に加工し、電気試験手順を使用して評価したところ、修復済み膜の誘電率値は、エッチングされていない膜の誘電率値に匹敵し、同様に加工されたが、未処理のウェハの誘電率値より著しく低いことが判定された。
先に挙げた引例の全ては、引用することによってその内容をここに合体する。
好適な実施形態に関連して本発明を説明したが、当業者には明らかであるように、変形及び変更が実施されてもよいことが理解されるべきである。そのような変形及び変更は、添付の請求の範囲により定義される本発明の趣旨の範囲内にあると考えられるべきである。

Claims (28)

  1. 表面結合したシラノール基を有するプラズマエッチングされた低誘電率の誘電材料を修復する方法であって、
    (a).触媒と前記表面結合したシラノール基との間に水素結合を形成することにより、触媒中間体を得るように、前記誘電材料の少なくとも片方の面を前記触媒にさらす工程と、
    (b).シランキャッピング剤が前記触媒中間体と反応し、表面結合したシラン化合物を形成するように、前記誘電材料を前記シランキャッピング剤によって処理する工程と、
    を含むことを特徴とする方法。
  2. (c).前記b工程の前記表面結合したシラン化合物の少なくとも1つのアルコキシ基を水と反応させて、シラノール基を形成する工程を更に含み、
    触媒中間体を得るために、触媒と前記シラノール基との間に水素結合が形成され、かつ、前記触媒中間体は、前記b工程の前記表面結合したシラン化合物の隣接するアルコキシ基と反応して、隣接する表面結合したシラン化合物間に水平網状構造を形成する、ことを特徴とする請求項1に記載の方法。
  3. 前記a工程及び前記c工程の少なくともいずれかの工程における前記触媒は、超臨界溶媒中に存在する、希釈剤中に存在する、又は、超臨界溶媒中と希釈剤中とに存在する、ことを特徴とする請求項に2記載の方法。
  4. 前記希釈剤は、
    不活性ガス、アルカン、エーテル、ケトン、テトラヒドロフラン若しくはそれらの混合物、
    窒素、アルゴン、ペンタン、ヘキサン、テトラヒドロフラン若しくはそれらの混合物、又は、
    不活性ガス、アルカン、エーテル、ケトン、テトラヒドロフラン若しくはそれらの混合物、及び、窒素、アルゴン、ペンタン、ヘキサン、テトラヒドロフラン若しくはそれらの混合物、
    より成る群から選択されることを特徴とする請求項3に記載の方法。
  5. 前記a工程及び前記c工程の少なくともいずれかの工程における前記触媒はルイス塩基アミンである、
    前記c工程における前記触媒は有機酸である、又は、
    前記a工程及び前記c工程の少なくともいずれかの工程における前記触媒はルイス塩基アミンであり、かつ、前記c工程における前記触媒は有機酸である、
    ことを特徴とする請求項2に記載の方法。
  6. 前記シランキャッピング剤は、単官能アルコキシシラン、二官能アルコキシシラン及び三官能アルコキシシランの少なくともいずれかであり、
    前記触媒は、アンモニア、第二級アミン、第三級アミン及びそれらの組み合わせの少なくともいずれかであり、
    前記a工程及び前記b工程の少なくともいずれかの工程は非水性媒体中でなされる、
    ことを特徴とする請求項1に記載の方法。
  7. 前記b工程における前記シランキャッピング剤は超臨界溶液中に存在することを特徴とする請求項1に記載の方法。
  8. 前記超臨界溶液は超臨界二酸化炭素を含むことを特徴とする請求項7に記載の方法。
  9. 前記c工程における前記水は、約0.01wt%〜約0.10wt%の含水率を有する超臨界二酸化炭素と、前記c工程中における約0.01wt〜約0.10wt%の水の反応容器中への注入との少なくともいずれかにより得られる、
    ことを請求項2記載の方法。
  10. 前記a工程に先立って、前記低誘電率の誘電材料がプラズマエッチングされる、
    前記a工程に先立って、前記低誘電率の誘電材料のフォトレジストがアッシングされる、
    前記a工程に先立って、前記低誘電率の誘電材料が洗浄される、及び、
    前記c工程に続いて、前記低誘電率の誘電材料の上にバリア層が蒸着される、
    の少なくともいずれかがなされることを特徴とする請求項2に記載の方法。
  11. 表面結合したシラノール基を有するプラズマエッチングされた低誘電率の誘電材料を修復する方法であって、
    (a).触媒と前記表面結合したシラノール基との間に水素結合を形成させて触媒中間体を得るように、超臨界溶媒、前記触媒及びシランキャッピング剤を含む溶液に前記誘電材料の少なくとも片方の面をさらす工程を含み、
    前記触媒中間体は、前記シランキャッピング剤と反応し、表面結合したシラン化合物を形成する、ことを特徴とする方法。
  12. (b).シラノール基を形成するために、前記表面結合したシラン化合物の少なくとも1つのアルコキシ基を水と反応させる工程を更に含み、
    触媒中間体を得るために、触媒と前記シラノール基との間に水素結合が形成され、かつ、前記触媒中間体は、前記表面結合したシラン化合物の隣接するアルコキシ基と反応して、隣接する表面結合したシラン化合物間に水平網状構造を形成する、ことを特徴とする請求項11に記載の方法。
  13. 前記a工程及び前記b工程における前記触媒はルイス塩基アミンである、
    前記b工程における前記触媒は有機酸である、又は、
    前記a工程及び前記b工程における前記触媒はルイス塩基アミンでありかつ前記b工程における前記触媒は有機酸である、
    ことを特徴とする請求項12に記載の方法。
  14. 前記ルイス塩基アミンは、アンモニア、第二級アミン、第三級アミン又はそれらの組み合わせであることを特徴とする請求項13に記載の方法。
  15. 前記シランキャッピング剤は、単官能アルコキシシラン、二官能アルコキシシラン及び三官能アルコキシシランの少なくともいずれかである、
    前記シランキャッピング剤は、n‐プロピルトリメトキシシラン、メチルトリメトキシシラン、ジメチルジメトキシシラン、トリメチルメトキシシラン、n‐プロピルトリエトキシシラン及びジメチルジエトキシシランより成る群から選択される、
    前記溶液は非水性媒体の中にある、及び、
    前記超臨界溶媒は超臨界二酸化炭素である、
    の少なくともいずれかを備えることを特徴とする請求項11に記載の方法。
  16. 副産物としてアルコールを形成する工程を更に含むことを特徴とする請求項11に記載の方法。
  17. 前記水は、約0.01wt%〜約0.10wt%の含水率を有する超臨界二酸化炭素と、前記方法の実行中における約0.01wt〜約0.10wt%の水の反応容器中への注入との少なくともいずれかにより得られる、ことを特徴とする請求項12に記載の方法。
  18. 前記a工程に先立って、前記低誘電率の誘電材料がプラズマエッチングされる、
    前記a工程に先立って、前記低誘電率の誘電材料のフォトレジストがアッシングされる、
    前記a工程に先立って、前記低誘電率の誘電材料が洗浄される、及び、
    前記b工程に続いて、前記低誘電率の誘電絶縁材料上へバリア層が蒸着される、
    の少なくともいずれかがなされることを特徴とする請求項12に記載の方法。
  19. 表面結合したシラノール基を有するプラズマエッチングされた低誘電率の誘電材料を修復する方法であって、
    (a).触媒と前記表面結合したシラノール基との間に水素結合を形成して、シランキャッピング剤と反応しかつ表面結合したシラン化合物を形成する触媒中間体を形成するように、超臨界溶媒、触媒及び前記シランキャッピング剤を含む溶液に前記誘電材料の少なくとも片方の面をさらす工程と、
    (b).加水分解によってシラノール基を形成するために、前記表面結合したシラン化合物のアルコキシ基を有機酸及び水と反応させる工程と、
    を含むことを特徴とする方法。
  20. (c).副産物として水を形成して、前記表面結合したシラン化合物間に実質的に完成された水平網状構造を形成するために、前記b工程の前記シラノール基を熱によって縮合する工程を更に含むことを特徴とする請求項19に記載の方法。
  21. 前記c工程は、
    約100℃〜約350℃の温度で約5秒〜約5分間実行されるか、又は、
    約175℃〜約300℃の温度で約30秒〜約60秒間実行される、ことを特徴とする請求項20に記載の方法。
  22. 前記c工程は加熱板上で実行されることを特徴とする請求項20に記載の方法。
  23. 前記a工程における前記触媒は、超臨界溶媒中に存在する、希釈剤中に存在する、又は、超臨界溶媒中と希釈剤中とに存在する、ことを特徴とする請求項19記載の方法。
  24. 前記希釈剤は、
    不活性ガス、アルカン、エーテル、ケトン、テトラヒドロフラン若しくはそれらの混合物、
    窒素、アルゴン、ペンタン、ヘキサン、テトラヒドロフラン若しくはそれらの混合物、又は、
    不活性ガス、アルカン、エーテル、ケトン、テトラヒドロフラン若しくはそれらの混合物、及び、窒素、アルゴン、ペンタン、ヘキサン、テトラヒドロフラン若しくはそれらの混合物、
    より成る群から選択されることを特徴とする請求項23に記載の方法。
  25. 前記シランキャッピング剤は、単官能アルコキシシラン、二官能アルコキシシラン及び三官能アルコキシシランの少なくともいずれかである、
    前記a工程における前記触媒は、ルイス塩基アミンである、
    前記a工程における前記触媒は、アンモニア、第二級アミン、第三級アミン又はそれらの組み合わせである、
    前記a工程は、非水性媒体中でなされる、又は、
    前記b工程における前記水は、約0.01wt%〜約0.10wt%の含水率を有する超臨界二酸化炭素、及び、前記b工程中における約0.01wt%〜約0.10wt%の水の反応容器中への注入の少なくともいずれかにより得られる、
    の少なくともいずれかを備えることを特徴とする請求項19に記載の方法。
  26. 前記超臨界溶媒は、超臨界二酸化炭素を含むことを特徴とする請求項19に記載の方法。
  27. 前記超臨界二酸化炭素に対する前記触媒のモルパーセントは、約0.01〜約100である、
    前記超臨界二酸化炭素に対する前記触媒のモルパーセントは、約0.1〜約10である、
    前記超臨界二酸化炭素に対する前記シランキャッピング剤のモルパーセントは、約0.01〜約10である、及び、
    前記超臨界二酸化炭素に対する前記シランキャッピング剤のモルパーセントは、約0.5〜約3.0である、
    の少なくともいずれかを備えることを特徴とする請求項26に記載の方法。
  28. 前記a工程に先立って、前記低誘電率の誘電材料がプラズマエッチングされる、
    前記a工程に先立って、前記低誘電率の誘電材料のフォトレジストがアッシングされる、
    前記a工程に先立って、前記低誘電率の誘電材料が洗浄される、及び、
    前記c工程に続いて、前記低誘電率の誘電材料へバリア層が蒸着される、
    の少なくともいずれかを備えることを特徴とする請求項20に記載の方法。
JP2009518165A 2006-06-27 2007-06-21 エッチング損傷を受けた低誘電率の誘電材料(low−kdielectricmaterials)を修復し且つその強度を回復する方法 Ceased JP2009543339A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/475,206 US7807219B2 (en) 2006-06-27 2006-06-27 Repairing and restoring strength of etch-damaged low-k dielectric materials
PCT/US2007/014435 WO2008002443A1 (en) 2006-06-27 2007-06-21 Repairing and restoring strength of etch-damaged low-k dielectric materials

Publications (2)

Publication Number Publication Date
JP2009543339A true JP2009543339A (ja) 2009-12-03
JP2009543339A5 JP2009543339A5 (ja) 2010-07-29

Family

ID=38845944

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009518165A Ceased JP2009543339A (ja) 2006-06-27 2007-06-21 エッチング損傷を受けた低誘電率の誘電材料(low−kdielectricmaterials)を修復し且つその強度を回復する方法

Country Status (8)

Country Link
US (1) US7807219B2 (ja)
JP (1) JP2009543339A (ja)
KR (1) KR101392647B1 (ja)
CN (1) CN101479830B (ja)
MY (1) MY146528A (ja)
SG (1) SG173321A1 (ja)
TW (1) TWI424497B (ja)
WO (1) WO2008002443A1 (ja)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4884180B2 (ja) * 2006-11-21 2012-02-29 東京エレクトロン株式会社 基板処理装置および基板処理方法
JP5132244B2 (ja) * 2007-10-18 2013-01-30 大陽日酸株式会社 絶縁膜のダメージ回復方法および回復剤
US7998875B2 (en) * 2007-12-19 2011-08-16 Lam Research Corporation Vapor phase repair and pore sealing of low-K dielectric materials
CN101903989B (zh) 2007-12-21 2013-04-17 朗姆研究公司 硅结构的制造和带有形貌控制的深硅蚀刻
JP5322152B2 (ja) * 2008-03-25 2013-10-23 日本カーリット株式会社 シリコン化合物の製造方法
US8896239B2 (en) 2008-05-22 2014-11-25 Vladimir Yegorovich Balakin Charged particle beam injection method and apparatus used in conjunction with a charged particle cancer therapy system
AU2009249867B2 (en) 2008-05-22 2013-05-02 Vladimir Yegorovich Balakin Charged particle beam extraction method and apparatus used in conjunction with a charged particle cancer therapy system
WO2010101489A1 (en) 2009-03-04 2010-09-10 Zakrytoe Aktsionernoe Obshchestvo Protom Multi-field charged particle cancer therapy method and apparatus
EP2283713B1 (en) 2008-05-22 2018-03-28 Vladimir Yegorovich Balakin Multi-axis charged particle cancer therapy apparatus
US9058910B2 (en) 2008-05-22 2015-06-16 Vladimir Yegorovich Balakin Charged particle beam acceleration method and apparatus as part of a charged particle cancer therapy system
US8173547B2 (en) * 2008-10-23 2012-05-08 Lam Research Corporation Silicon etch with passivation using plasma enhanced oxidation
US20110097904A1 (en) * 2009-10-22 2011-04-28 Lam Research Corporation Method for repairing low-k dielectric damage
US7981699B2 (en) * 2009-10-22 2011-07-19 Lam Research Corporation Method for tunably repairing low-k dielectric damage
CA2839593A1 (en) 2011-07-15 2013-01-24 Sarepta Therapeutics, Inc. Methods and compositions for manipulating translation of protein isoforms from alternative initiation start sites
US9627608B2 (en) * 2014-09-11 2017-04-18 Lam Research Corporation Dielectric repair for emerging memory devices
CN104841405A (zh) * 2015-05-08 2015-08-19 武汉科奥美萃生物科技有限公司 一种高效液相色谱反相键合相的超/亚临界流体封端方法
US9763322B2 (en) 2016-01-19 2017-09-12 Industrial Technology Research Institute Flexible substrate repair structure, manufacturing method thereof, and inspection and repair method of flexible substrate
KR20180030280A (ko) 2016-09-12 2018-03-22 삼성전자주식회사 배선 구조체를 갖는 반도체 소자
SG11202005938SA (en) * 2018-01-05 2020-07-29 Fujifilm Electronic Materials Usa Inc Surface treatment compositions and methods
KR102480348B1 (ko) * 2018-03-15 2022-12-23 삼성전자주식회사 실리콘게르마늄 식각 전의 전처리 조성물 및 이를 이용한 반도체 장치의 제조 방법
KR20240083661A (ko) 2022-12-05 2024-06-12 윤소정 노크식 돌돌이 쓰레받기

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002524848A (ja) * 1998-08-27 2002-08-06 アライドシグナル・インコーポレイテッド 組合せ流沈着によるナノポーラスシリカ
JP2005522737A (ja) * 2002-04-12 2005-07-28 スーパークリティカル システムズ インコーポレイティド 多孔質誘電体膜の洗浄中のダメージを低減する処理方法
WO2006033836A2 (en) * 2004-09-15 2006-03-30 Honeywell International Inc. Treating agent materials
JP2006124410A (ja) * 2004-09-30 2006-05-18 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
WO2006101578A1 (en) * 2005-03-22 2006-09-28 Honeywell International Inc. Vapor phase treatment of dielectric materials

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0722698B2 (ja) * 1990-11-16 1995-03-15 日本電装株式会社 脱臭用活性炭及びその製造方法
EP1136494A3 (en) * 1994-03-31 2001-12-19 Sivento Inc. Method for preparation of stable water-borne silane compositions
US5998541A (en) * 1995-06-14 1999-12-07 Matsushita Electric Industrial Co., Ltd. Finishing agents and method of using the same
JPH08337654A (ja) * 1995-06-14 1996-12-24 Matsushita Electric Ind Co Ltd 化学吸着膜の製造方法及びこれに用いる化学吸着液
US6200943B1 (en) 1998-05-28 2001-03-13 Micell Technologies, Inc. Combination surfactant systems for use in carbon dioxide-based cleaning formulations
US6277203B1 (en) 1998-09-29 2001-08-21 Lam Research Corporation Method and apparatus for cleaning low K dielectric and metal wafer surfaces
US6531224B1 (en) 1999-03-19 2003-03-11 Battelle Memorial Institute Self-assembled monolayer and method of making
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6748960B1 (en) 1999-11-02 2004-06-15 Tokyo Electron Limited Apparatus for supercritical processing of multiple workpieces
US6323121B1 (en) 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
AU2001266998A1 (en) * 2000-06-23 2002-01-08 Honeywell International, Inc. Method to restore hydrophobicity in dielectric films and materials
US6337277B1 (en) 2000-06-28 2002-01-08 Lam Research Corporation Clean chemistry low-k organic polymer etch
US6457477B1 (en) 2000-07-24 2002-10-01 Taiwan Semiconductor Manufacturing Company Method of cleaning a copper/porous low-k dual damascene etch
US6486078B1 (en) 2000-08-22 2002-11-26 Advanced Micro Devices, Inc. Super critical drying of low k materials
KR20030046506A (ko) 2000-10-13 2003-06-12 미셀 테크놀로지즈, 인코포레이티드 이산화탄소 및 분리형 압력용기를 이용하는 드라이크리닝처리용 기구 및 방법
US6841483B2 (en) 2001-02-12 2005-01-11 Lam Research Corporation Unique process chemistry for etching organic low-k materials
US6777344B2 (en) 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
US6893969B2 (en) 2001-02-12 2005-05-17 Lam Research Corporation Use of ammonia for etching organic low-k dielectrics
US6620733B2 (en) 2001-02-12 2003-09-16 Lam Research Corporation Use of hydrocarbon addition for the elimination of micromasking during etching of organic low-k dielectrics
US6905555B2 (en) 2001-02-15 2005-06-14 Micell Technologies, Inc. Methods for transferring supercritical fluids in microelectronic and other industrial processes
US6602351B2 (en) 2001-02-15 2003-08-05 Micell Technologies, Inc. Methods for the control of contaminants following carbon dioxide cleaning of microelectronic structures
US6561220B2 (en) 2001-04-23 2003-05-13 International Business Machines, Corp. Apparatus and method for increasing throughput in fluid processing
US6794293B2 (en) 2001-10-05 2004-09-21 Lam Research Corporation Trench etch process for low-k dielectrics
US7049226B2 (en) 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6949411B1 (en) 2001-12-27 2005-09-27 Lam Research Corporation Method for post-etch and strip residue removal on coral films
US20050227183A1 (en) 2002-01-11 2005-10-13 Mark Wagner Compositions and methods for image development of conventional chemically amplified photoresists
AU2003220039A1 (en) 2002-03-04 2003-09-22 Supercritical Systems Inc. Method of passivating of low dielectric materials in wafer processing
US7387868B2 (en) 2002-03-04 2008-06-17 Tokyo Electron Limited Treatment of a dielectric layer using supercritical CO2
US6669785B2 (en) 2002-05-15 2003-12-30 Micell Technologies, Inc. Methods and compositions for etch cleaning microelectronic substrates in carbon dioxide
JP2004158534A (ja) 2002-11-05 2004-06-03 Kobe Steel Ltd 微細構造体の洗浄方法
US7709371B2 (en) * 2003-01-25 2010-05-04 Honeywell International Inc. Repairing damage to low-k dielectric materials using silylating agents
US6989172B2 (en) 2003-01-27 2006-01-24 Micell Technologies, Inc. Method of coating microelectronic substrates
US7357115B2 (en) 2003-03-31 2008-04-15 Lam Research Corporation Wafer clamping apparatus and method for operating the same
US7153388B2 (en) 2003-03-31 2006-12-26 Lam Research Corporation Chamber for high-pressure wafer processing and method for making the same
US7392815B2 (en) 2003-03-31 2008-07-01 Lam Research Corporation Chamber for wafer cleaning and method for making the same
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US8475666B2 (en) 2004-09-15 2013-07-02 Honeywell International Inc. Method for making toughening agent materials
US7345000B2 (en) 2003-10-10 2008-03-18 Tokyo Electron Limited Method and system for treating a dielectric film
US7141496B2 (en) 2004-01-22 2006-11-28 Micell Technologies, Inc. Method of treating microelectronic substrates
US20050183740A1 (en) 2004-02-19 2005-08-25 Fulton John L. Process and apparatus for removing residues from semiconductor substrates
JP4312630B2 (ja) 2004-03-02 2009-08-12 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US20050196974A1 (en) * 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US7037823B2 (en) 2004-04-20 2006-05-02 Texas Instruments Incorporated Method to reduce silanol and improve barrier properties in low k dielectric ic interconnects
US7354623B2 (en) 2004-05-24 2008-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Surface modification of a porous organic material through the use of a supercritical fluid
US7445015B2 (en) 2004-09-30 2008-11-04 Lam Research Corporation Cluster tool process chamber having integrated high pressure and vacuum chambers
US20060102895A1 (en) 2004-11-16 2006-05-18 Hendrix Bryan C Precursor compositions for forming tantalum-containing films, and tantalum-containing barrier films and copper-metallized semiconductor device structures

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002524848A (ja) * 1998-08-27 2002-08-06 アライドシグナル・インコーポレイテッド 組合せ流沈着によるナノポーラスシリカ
JP2005522737A (ja) * 2002-04-12 2005-07-28 スーパークリティカル システムズ インコーポレイティド 多孔質誘電体膜の洗浄中のダメージを低減する処理方法
WO2006033836A2 (en) * 2004-09-15 2006-03-30 Honeywell International Inc. Treating agent materials
JP2006124410A (ja) * 2004-09-30 2006-05-18 Jsr Corp 表面疎水化用組成物、表面疎水化方法、半導体装置およびその製造方法
WO2006101578A1 (en) * 2005-03-22 2006-09-28 Honeywell International Inc. Vapor phase treatment of dielectric materials

Also Published As

Publication number Publication date
TWI424497B (zh) 2014-01-21
KR101392647B1 (ko) 2014-05-19
CN101479830B (zh) 2012-04-04
TW200816309A (en) 2008-04-01
US7807219B2 (en) 2010-10-05
CN101479830A (zh) 2009-07-08
MY146528A (en) 2012-08-15
KR20090025343A (ko) 2009-03-10
US20070298163A1 (en) 2007-12-27
WO2008002443A1 (en) 2008-01-03
SG173321A1 (en) 2011-08-29

Similar Documents

Publication Publication Date Title
US7807219B2 (en) Repairing and restoring strength of etch-damaged low-k dielectric materials
KR101542636B1 (ko) 나노다공성 로우-k 유전체 재료 처리 방법
US11302519B2 (en) Method of patterning a low-k dielectric film
JP3921502B2 (ja) 酸化物の選択的エッチング方法
US7915159B2 (en) Treating agent materials
US7585777B1 (en) Photoresist strip method for low-k dielectrics
US7678712B2 (en) Vapor phase treatment of dielectric materials
US10062602B2 (en) Method of etching a porous dielectric material
US20070251551A1 (en) Removal of high-dose ion-implanted photoresist using self-assembled monolayers in solvent systems
JP2007508691A (ja) シリル化剤を用いる低誘電率誘電材料の損傷の修復
JP7332961B2 (ja) ドライエッチング方法
JP2008538013A (ja) 溶媒系中の自己組織化単分子膜を用いた高線量イオン注入フォトレジストの除去
KR20080046683A (ko) 유전체 물질을 변형하는 공정
Saga et al. Wafer cleaning using supercritical CO2 in semiconductor and nanoelectronic device fabrication
JP2006210774A (ja) 低誘電率膜のダメージ回復法
JP2007035705A (ja) 表面疎水化方法、表面疎水化用組成物、ならびに半導体装置およびその製造方法
JP2006203060A (ja) 表面疎水化方法、ならびに半導体装置およびその製造方法
JP2005191472A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100611

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20100611

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101012

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120629

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120921

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121207

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130213

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130603

A045 Written measure of dismissal of application [lapsed due to lack of payment]

Free format text: JAPANESE INTERMEDIATE CODE: A045

Effective date: 20131025