JP2008527755A - Cmosfet内の歪みを最適化するための構造体及び方法 - Google Patents

Cmosfet内の歪みを最適化するための構造体及び方法 Download PDF

Info

Publication number
JP2008527755A
JP2008527755A JP2007552237A JP2007552237A JP2008527755A JP 2008527755 A JP2008527755 A JP 2008527755A JP 2007552237 A JP2007552237 A JP 2007552237A JP 2007552237 A JP2007552237 A JP 2007552237A JP 2008527755 A JP2008527755 A JP 2008527755A
Authority
JP
Japan
Prior art keywords
semiconductor device
strain
semiconductor
inducing coating
mosfet
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007552237A
Other languages
English (en)
Other versions
JP4884397B2 (ja
JP2008527755A5 (ja
Inventor
チェン、シャントン
ヤン、ヘイニング、エス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2008527755A publication Critical patent/JP2008527755A/ja
Publication of JP2008527755A5 publication Critical patent/JP2008527755A5/ja
Application granted granted Critical
Publication of JP4884397B2 publication Critical patent/JP4884397B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】 CMOSFET内の歪みを最適化するための構造体及び方法を提供すること。
【解決手段】 MOSFET内の歪みを最適化し、より具体的には、1つの種類(P又はN)のMOSFET内の歪みを最大にし、かつ、別の種類(N又はP)のMOSFET内の歪みを最小にし緩和する、PMOSFET及びNMOSFETの両方を含む歪みMOSFETの半導体構造体、及び歪みMOSFETを製造する方法が開示される。元の完全な厚さを有する歪み誘起CA窒化物コーティングが、PMOSFET及びNMOSFETの両方の上に形成され、この歪み誘起コーティングは、1つの種類の半導体デバイス内に最適化された十分な歪みをもたらし、別の種類の半導体デバイスの性能を劣化させる。歪み誘起CA窒化物コーティングは、別の種類の半導体デバイスの上で減少した厚さまでエッチングされ、減少した厚さの歪み誘起コーティングは、他方のMOSFET内でより少ない歪みを緩和し、他方のMOSFET内により少ない歪みをもたらす。
【選択図】 図1

Description

本発明は、一般に、MOSFET内の歪みを最適化する、歪み相補型金属酸化物半導体電界効果トランジスタ(strained complementarymetal oxide semiconductor fieldeffect transistor、CMOSFET)の半導体構造体、及び歪みMOSFETを製造する方法に関し、より具体的には、1つの型/種類(N又はP)のMOSFET内の歪みを最大にし、かつ、別の型/種類(P又はN)のMOSFET内の歪みを最小にし緩和する構造体及び方法に関する。
歪み誘起プロセスは、歪みがMOSFETのチャネル内のキャリア移動度を高めることができるため、近年脚光を浴びてきた。コンタクト障壁(contact barrier、CA)窒化物の応力の技術は、歪みをMOSFETのチャネル内に伝達する際に特に有効である。さらに、このプロセスは、現在の製造プロセスと互換性があり、現在のプロセスにおいて容易に実施することができる。MOSFETのチャネル内の歪みは、コンタクト障壁(CA)窒化物の厚さに比例し、より厚いCA窒化物は、MOSFETのチャネル内により高い応力をもたらす。圧縮CA窒化物又は引張CA窒化物のどちらかが、1つの種類のMOSFETの性能を改善し、別の種類のMOSFETの性能を低下させることが可能である。より具体的には、圧縮CA窒化物は、PMOSFETの性能を改善させるが、NMOSFETの性能を低下させ、引張CA窒化物は、NMOSFETの性能を改善するが、PMOSFETの性能を低下させる。当技術分野において周知のように、プラズマ堆積の電力を変えることによって、圧縮CA窒化膜又は引張CA窒化膜を選択的に堆積させることができる。
別の種類(P又はN)のMOSFET内の応力を維持しながら、1つの種類(N又はP)のMOSFET内の応力を緩和し、劣化を減少させるために、マスクされた(ブロックされたPFET又はブロックされたNFET)Ge又はAsの注入が行われた。厚い圧縮CA窒化物は、1つの種類(N又はP)のMOSFETのチャネル内により高い応力をもたらすことができる。しかしながら、厚い圧縮CA窒化物は、Ge又はAsの注入により応力を緩和し、別の種類(P又はN)のMOSFETの性能を改善することを困難にする。
本発明は、CMOSFETのような半導体デバイス内の歪みを最適化するための構造体及び方法を提供するものであり、一般に、半導体デバイスに対して広い適用性がある。本発明は、PMOSFET及びNMOSFETの両方を含む歪み半導体構造体と、1つの型/種類(P又はN)のMOSFET内の歪みを最大にし、かつ、別の型/種類(N又はP)のMOSFET内の歪みを最小にし緩和する歪みMOSFETを製造する方法とを提供する。
元の完全な厚さを有し、一方の半導体デバイス内に最適化された十分な歪みをもたらす歪み誘起コーティングが、PMOSFET及びNMOSFETのうちの一方の上に形成される。完全な厚さよりも薄い、エッチングされた減少した厚さを有する歪み誘起コーティングが、PMOSFET及びNMOSFETのうちの他方の上に形成され、減少した厚さを有する歪み誘起コーティングは、他方のMOSFET内でより少ない歪みを緩和し、他方のMOSFET内により少ない歪みをもたらす。
MOSFET内の歪みを最適化するための構造体及び方法に関する本発明の上記の目的及び利点は、幾つかの図面全体を通して同様の要素が同一の参照番号で示される添付の図面と併せて、その幾つかの実施形態の以下の詳細な説明を参照することによって、当業者であれば、より容易に理解することができる。
本発明は、1つの型/種類(P又はN)のMOSFET内の歪みを最大にし、かつ、別の型/種類(N又はP)のMOSFET内の歪みを最小にし緩和する、異なる厚さのコンタクト障壁(CA)窒化物を有するMOSFET構造体を、NMOSFET及びPMOSFET上に提供するものである。
図1は、分離領域34によって分離されたPMOFET30及びNMOSFET32の両方を有する半導体12についての、本発明の第1及び第2の例示的な実施形態を示す。本発明の第1及び第2の例示的な実施形態においては、PMOSFET30内の歪みを最大にし、かつ、NMOSFET32内の歪みを最小にするために、歪み誘起コ―ティングとしての圧縮CA窒化物が用いられる。
要約すれば、PMOSFET30及びNMOSFET32両方の上に厚い(700−1000Aの)圧縮CA窒化物36を堆積させた後、PMOSFET30がフォトレジストで被覆され、NMOSFET32が露出され、フォトレジストで被覆されないように、フォトレジストを用いて半導体基板12にパターンが形成される。フォトレジストによりPMOSFET30のエッチングを防止しながら、NMOSFET32の圧縮CA窒化物38が(300−500A)までより薄くエッチングされる。従って、NMOSFET32において、NMOSFET32のより薄い圧縮CA窒化物38は、PMOSFET30のものより少ない圧縮歪みをもたらし、NMOSFET32の劣化が減少される。図1はまた、歪みをさらに緩和し、NMOSFET32の性能を改善するために、Ge又はAs注入40を適用できることを示す。
第1のステップにおいて、圧縮CA窒化物36の厚い(700−1000Aの)層が、半導体基板12のPMOSFET30及びNMOSFET32の両方の上に堆積される。
次に、半導体基板12の上にフォトレジストのブランケット層が堆積され、次に、PMOSFET30はフォトレジストで被覆され、NMOSFET32は露出したままであり、フォトレジストで被覆されないように、マスクを用いてフォトレジストがパターン形成される。
次に、PMOSFET30の上の圧縮CA窒化物36が、完全な堆積された厚さのままであるように、フォトレジストによりPMOSFET30の圧縮CA窒化物のエッチングを防止しながら、NMOSFET32の圧縮CA窒化物38が300−500Aまでより薄くエッチングされる。従って、NMOSFET32において、NMOSFET32の上にある、より薄い圧縮CA窒化物38は、PMOSFET30の圧縮CA窒化物36より少ない圧縮歪みをもたらし、圧縮CA窒化物により引き起こされる、NMOSFET32の劣化が減少される。
本発明の第1の実施形態は、上記ステップの完了をもって完了する。図1はまた、上記ステップの完了後、歪み減少ドーパントの注入としてのGe/As注入40をNMOSFET32内に施すことによってNMOSFET32の劣化がさらに減少される、第2の実施形態をも示す。歪みをさらに緩和し、NMOSFET32の性能を改善するために、フォトレジストをパターン形成するのに用いられたものと同じマスクとすることができるマスク(図面においては、+B(ブロック)P(PFET)Ge/As注入40で示される)を用いて、PMOSFET30をブロックしながら、NMOSFET32にGe/As注入40が行われる。
図2は、図1と同じ構造体及び方法を歪み誘起コーティングとしての引張CA窒化物に適用できることを示す、本発明の第3及び第4の例示的な実施形態を示す。要約すれば、NMOSFET32及びPMOSFET30の両方の上に厚い(700−1000Aの)引張CA窒化物42を堆積させた後、NMOSFET32がフォトレジストで被覆され、PMOSFET30が露出され、フォトレジストで被覆されないように、フォトレジストを用いて半導体基板12パターンが形成される。フォトレジストによりNMOSFET32の上の厚い引張CA窒化物42のエッチングを防止しながら、PMOSFET30の引張CA窒化物44が、300−500Aまでより薄くエッチングされる。従って、PMOSFET30において、PMOSFET30のより薄い引張CA窒化物44は、NMOSFET32のものより少ない引張歪みをもたらし、PMOSFET30の劣化が減少される。図2はまた、歪みをさらに緩和し、PMOSFET30の性能を改善するために、Ge又はAs注入46で示す。
第1ステップにおいて、引張CA窒化物42の厚い(700−1000Aの)層が、半導体基板12のPMOSFET30及びNMOSFET32の両方の上に堆積される。
次に、NMOSFET32はフォトレジストで被覆され、PMOSFET30は露出したままであり、フォトレジストで被覆されないように、マスクを用いることにより半導体基板12がフォトレジストを用いてパターン形成される。
次に、引張CA窒化物が元の完全な厚さのままであるように、フォトレジストによりNMOSFET32の引張CA窒化物42のエッチングを防止しながら、PMOSFET30の引張CA窒化物44が300−500Aまでより薄くエッチングされる。従って、PMOSFET30において、PMOSFET30のより薄い引張CA窒化物44は、NMOSFET32のものより少ない引張歪みをもたらし、引張CA窒化物により引き起こされる、PMOSFET30の劣化が減少される。
本発明の第3の実施形態は、上記ステップの完了をもって完了する。図2はまた、上記ステップの完了後、歪み減少ドーパント注入としてのGe又はAsをPMOSFET30への注入46によって、PMOSFET30の劣化がさらに減少される、第4の実施形態も示す。歪みをさらに緩和し、PMOSFET30の性能を改善するために、フォトレジストをパターン形成するのに用いられたものと同じマスクとすることができるマスク(図面においては、+B(ブロック)N(NFET)Ge/As注入で示される)を用いて、NMOSFET32をブロックしながら、Ge/As注入46が行われる。
窒化膜内の歪みを緩和するための注入についてのプロセス条件は、
As又はGE、
ドーズ量:5e14から2e15まで、
エネルギー:20Kから50Kまで、
とすることができる。
正確な注入条件は、膜厚及び膜内の応力によって決まる。
当技術分野において周知のように、プラズマ堆積の電力を変えることによって、圧縮窒化膜又は引張窒化膜を選択的に堆積させることができる。
代替的な実施形態において、窒化膜の代わりに、本発明において他の応力材料を用いることができるが、窒化膜は、適合性において利点を有する。本発明の応力誘発膜は、好ましくはSi、又は代替的にTiNである窒化物、酸化物、リン酸ホウ素ケイ酸塩ガラス、Al、HfO、ZrO、HfSiOのようなドープされた酸化物、及び半導体処理には一般的な他の誘電体材料、或いはこれらの任意の組み合わせを含むことができる。応力誘発膜は、約10nmから約100nmまでの範囲の厚さを有することができる。応力誘発膜は、デバイス・チャネル内に圧縮応力を提供してPFETの性能を改善するか、又はデバイス・チャネル内に引張応力を提供してNFETの性能を改善する。
図1及び2は、単一の半導体基板12の上に形成された2つのMOSFETデバイス領域を有するIC構造体10を示す。このような実施形態に関して説明されるが、本発明は、半導体構造体の表面に任意の特定の数のMOSFETデバイスを形成することに限定されるものではない。
製造プロセスのより詳細な説明においては、IC構造体10は、半導体基板12と、半導体基板12内に配置されたソース/ドレイン領域14と、半導体基板12の表面上に配置された2つの左ゲート領域16L及び右ゲート領域16Rとを含む。各々のゲート領域16L及び16Rは、ゲート誘電体18、ポリSi導体20、誘電体キャップ22、誘電体ライナ23、スペーサ24、及び半導体基板12内に配置されたソース/ドレイン領域14を含む。
構造体10の半導体基板12は、これらに限定されないが、Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP及び他の全てのIII/V族化合物半導体を含む、任意の半導体材料を含むことができる。半導体基板12はまた、有機半導体、或いはSi/SiGe、シリコン・オン・インシュレータ(SOI)、又はSiGeオン・インシュレータ(SGOI)などの層状半導体を含むこともできる。本発明の幾つかの実施形態において、半導体基板12は、Si含有半導体材料、即ちシリコンを含む半導体材料からなることが好ましい。半導体基板12は、ドープされたものであってもよく、ドープされていないものであってもよく、或いは内部にドープ領域と非ドープ領域とを含むものであってもよい。
半導体基板12はまた、第1のドープ(N−又はP−)領域及び第2のドープ(N−又はP−)領域を含むこともできる。これらのドープ領域は、「ウェル」として知られている。第1のドープ領域及び第2のドープ領域は、同じものであってもよく、又はそれらが異なる導電率及び/又はドーピング濃度を有するものであってもよい。
トレンチ分離領域34は、一般的に、当業者には公知の従来のプロセスを用いて、本発明のこの時点で半導体基板内に既に形成されている。トレンチ分離領域は、本発明の図面に示される領域の左周辺部及び右周辺部にも、示されるような2つのゲート領域間にも配置される。
ゲート誘電体18は、半導体基板12を含む構造体10の表面全体に形成され、分離領域が存在する場合及び分離領域が堆積された誘電体である場合には、分離領域の上に形成される。ゲート誘電体18は、例えば、酸化、窒化、又は酸窒化のような熱成長プロセスによって形成することができる。代替的には、ゲート誘電体18は、例えば、化学気相堆積(CVD)、プラズマ強化CVD、原子層堆積(ALD)、蒸着、反応性スパッタリング、化学溶液堆積のような堆積プロセス、及び他の同様な堆積プロセスによって形成することができる。ゲート誘電体18はまた、上記プロセスの任意の組み合わせを用いて形成することもできる。
ゲート誘電体18は、これらに限定されないが、酸化物、窒化物、酸窒化物、及び/又は金属シリケート及び窒化金属シリケートなどのシリケートを含む絶縁材料からなる。1つの実施形態において、ゲート誘電体18は、例えば、SiO、HfO、ZrO、Al、TiO、La、SrTiO、LaAlO及びこれらの混合物のような酸化物からなることが好ましい。
ゲート誘電体18の物理的厚さは変わり得るが、典型的には、ゲート誘電体18は、約0.5nmから約10nmまでの厚さを有し、約0.5nmから約3nmまでの厚さがより典型的である。
ゲート誘電体18を形成した後、図に示されるポリSiゲート導体20になるポリシリコン(即ち、ポリSi)のブランケット層が、例えば、物理気相堆積、CVD、又は蒸着のような周知の堆積プロセスを用いて、ゲート誘電体18上に形成される。ポリシリコンのブランケット層は、ドープされていても、又はドープされていなくてもよい。ドープされている場合には、同じものを形成するのに、その場ドーピング堆積プロセスを用いることができる。代替的に、堆積、イオン注入及びアニールによって、ドープされたポリSi層を形成することができる。ポリSi層のドーピングは、形成されたシリサイド化金属ゲートの仕事関数をシフトする。ドーパント・イオンの説明に役立つ実例は、As、P、B、Sb、Bi、In、Al、Ga、Tl、又はこれらの混合物を含む。イオン注入のための典型的なドーズ量は、1E14(=1×1014)原子/cmから1E16(=1×1016)原子/cmまで、又はより典型的には1E15原子/cmから5E15原子/cmまでである。本発明のこの時点において堆積されたポリシリコン層の厚さ、即ち高さは、用いられる堆積プロセスによって変わり得る。典型的には、ポリシリコン層は、約20nmから約180nmまでの垂直方向の厚さを有し、約40nmから約150nmまでの厚さがより典型的である。
ポリシリコンのブランケット層の堆積後、例えば、物理気相堆積又は化学気相堆積等の堆積プロセスを用いて、誘電体キャップ22が、ポリシリコン・ゲート導体20のブランケット層の上に形成される。誘電体キャップ22は、酸化物、窒化物、酸窒化物、又はこれらの任意の組み合わせとすることができる。誘電体キャップ22は、ここで以下に詳細に定められる、スペーサ24とは異なる誘電体材料からなることが可能である。1つの実施形態においては、例えば、Siのような窒化物が、誘電体キャップ22として用いられる。好ましいさらに別の実施形態において、誘電体キャップ22は、SiOのような酸化物である。誘電体キャップ22の厚さ、即ち高さは、約20nmから約180nmまでであり、約30nmから約140nmまでの厚さがより典型的である。
次に、リソグラフィ及びエッチングによって、ブランケット・ポリシリコン層及び誘電体キャップ層がパターン形成され、パターン形成されたゲート・スタックを生成する。パターン形成されたゲート・スタックは、同じ寸法、即ち長さをもつことができ、又はそれらはデバイス性能を改善するために種々の寸法をとることができる。本発明のこの時点におけるパターン形成されたゲート・スタックの各々は、ポリSiゲート導体20及び誘電体キャップ22を含む。リソグラフィ・ステップは、誘電体キャップ層の上面にフォトレジストを適用し、フォトレジストを所望の放射パターンに露光させ、通常のレジスト現像剤を用いて、露光されたフォトレジストを現像することを含む。次いで、1つ又は複数の乾燥エッチング・ステップを用いて、フォトレジストのパターンが、誘電体キャップ層及びポリシリコンのブランケット層に転写される。幾つかの実施形態においては、パターンが誘電体キャップ層内に転写された後、パターン形成されたフォトレジストを除去することができる。他の実施形態においては、エッチングが完了した後、パターン形成されたフォトレジストが除去される。
パターン形成されたゲート・スタックを形成する際に本発明において用いることができる適切なド乾式エッチング工程は、これらに限定されないが、反応性イオン・エッチング、イオン・ビーム・エッチング、プラズマ・エッチング、又はレーザ・アブレーションを含む。用いられる乾式エッチング工程は、典型的には、下にあるゲート誘電体18に対して選択的であり、従って、このエッチング・ステップは、一般的には、ゲート誘電体を除去するものではない。しかしながら、幾つかの実施形態においては、このエッチング・ステップを用いて、ゲート・スタックによって保護されていないゲート誘電体18の一部を除去することができる。湿式エッチング工程を用いて、ゲート・スタックにより保護されていないゲート誘電体18の一部を除去することもできる。
次に、誘電体ライナ23が、少なくともポリシリコン・ゲート導体20などのシリコンを含む露出面の全ての上に形成される。誘電体ライナ23はまた、半導体基板12の水平面の上に延びることもできる。誘電体ライナ23は、酸化物、窒化物、酸窒化物、又はこれらの任意の組み合わせを含む、いずれかの誘電体材料を含むことができる。誘電体ライナ23は、酸化、窒化、又は酸窒化のような熱成長プロセスを介して形成される。誘電体ライナ23は、その厚さが典型的には約1nmから約10nmまでの薄い層である。
少なくとも1つのスペーサ24が、パターン形成されたゲート・スタックの各々の露出された側壁上、及び、誘電体ライナの上に形成される。少なくとも1つのスペーサ24は、酸化物、窒化物、酸窒化物、及び/又はこれらの任意の組み合わせのような絶縁体からなり、典型的には、誘電体ライナ23及び誘電体キャップ22とは異なる材料からなる。窒化物スペーサが形成されることが好ましい。少なくとも1つのスペーサ24は、堆積及びエッチングによって形成される。半導体基板12の一部が露出されるように、スペーサ24を形成する際に用いられるエッチング・ステップも基板の上から誘電体ライナ23を除去できることに留意されたい。
スペーサ24の幅は、ソース及びドレインのシリサイド・コンタクト(後で形成される)が、ゲート・スタックの縁部の下に侵入しないように、十分に広いものでなければならない。一般的には、スペーサが、約15nmから約80nmまでの底部で測定された幅を有するとき、ソース/ドレインのシリサイドは、ゲート・スタックの縁部の下に侵入しない。
スペーサの形成後、露出した部分において、基板12内にソース/ドレイン拡散領域14が形成される。ソース/ドレイン拡散領域14は、イオン注入ステップ及びアニール・ステップを用いて形成される。アニール・ステップは、前の注入ステップによって注入されたドーパントを活性化させる働きをする。イオン注入及びアニールについての条件は、当業者には公知である。
次に、図1及び図2に示されるように、厚い圧縮CA窒化膜36又は引張CA窒化膜42が、図1及び図2に示される構造体全体の上に形成され、薄い圧縮CA窒化膜38又は引張CA窒化膜44及び場合によってはGE/As注入40又は46を形成するために、上記に詳述されるように、更なる製造及び処理が進行する。
図1及び図2に示される構造体の製造後、平坦化誘電体層(図示せず)を形成することができる。平坦化誘電体層は、高密度の酸化物、又はTEOSから堆積された酸化物のような酸化物を含む。代替的に、平坦化誘電体層は、ホウ素がドープされたケイ酸塩ガラス(BSG)、又はリンがドープされたケイ酸塩ガラス(PSG)のようなドープされたケイ酸塩ガラス、水素シルセスキオキサン(HSQ)のような回転塗布可能なポリマー材料、又はフォトレジストを含むことができる。平坦化誘電体層は、当業者には公知の通常の技術によって形成される。この時点で形成された平坦化誘電体層の厚さは、用いられる材料のタイプによって変わり得る。典型的には、平坦化誘電体層は、約50nmから約100nmまでの厚さを有する。
CMOSFET内の歪みを最適化するための構造体及び方法に関する本発明の幾つかの実施形態及び変形物がここに詳細に説明されたが、本発明の開示及び教示は、当業者に多くの代替的な設計を示唆するであろうことを理解すべきである。
PMOSFET内の歪みを最大にする、PMOSFET上の厚さがより厚い圧縮CA窒化物と、NMOSFET内の歪みを最小にし緩和する、NMOSFET上の厚さがより薄い圧縮CA窒化物とを有するMOSFET構造体を示す。 NMOSFET内の歪みを最大にする、NMOSFET上の厚さがより厚い引張CA窒化物と、PMOSFET内の歪みを最小にし緩和する、PMOSFET上の厚さがより薄い引張CA窒化物とを有するMOSFET構造体を示す。

Claims (18)

  1. P−半導体デバイス及びN−半導体デバイス内に異なる量の歪みをもつ、P−半導体デバイス及びN−半導体デバイスを有する半導体構造体を製造する方法であって、
    基板上にP−半導体デバイス及びN−半導体デバイスを形成するステップと、
    前記P−半導体デバイス及び前記N−半導体デバイスの上に、元の厚さを有し、前記P−半導体デバイス及び前記N−半導体デバイス内に歪みをもたらす歪み誘起コーティングを形成するステップと、
    前記歪み誘起コーティングが施されたP−半導体デバイス及び歪み誘起コーティングが施されたN−半導体デバイスのうちの一方を保護し、前記歪み誘起コーティングが施された半導体デバイスの他方を露出されたままにするステップと、
    前記露出された半導体デバイス内の歪みを緩和させるために、前記露出された誘起コーティングをエッチングして前記歪み誘起コーティングの厚さを減少させ、前記保護された半導体デバイス内の歪みが変わらないままにするように、前記保護された半導体デバイスの上の前記歪み誘起コーティングを保護されたままにするステップと
    を含む方法。
  2. 前記エッチングするステップに続いて、前記露出された半導体デバイス内の前記歪みをさらに緩和するために、前記露出された半導体デバイス内に歪み減少ドーパントを注入するステップを含む、請求項1に記載の方法。
  3. As又はGeを含む歪み減少ドーパントを注入するステップを含む、請求項2に記載の方法。
  4. 20KeVから50KeVまでの注入エネルギーで、5e14原子/cmから2e15原子/cmまでの用量の前記As又はGeを注入するステップを含む、請求項3に記載の方法。
  5. 前記保護するステップは、
    前記基板上の前記P−半導体デバイス及び前記N−半導体デバイスの上に、フォトレジスト層をブランケット堆積させるステップと、
    前記フォトレジスト層を放射線のパターンに露光させ、前記パターンを前記フォトレジスト層に現像し、前記保護された半導体デバイスの上を覆うブロック・マスクを形成するステップと
    を含む、請求項1に記載の方法。
  6. 前記歪み誘起コーティングは、前記保護されたP−半導体デバイスの性能を改善するために圧縮歪みをもたらし、前記圧縮歪みは、前記露出されたN−半導体デバイス内で緩和される、請求項1に記載の方法。
  7. 前記P−半導体デバイスがP型MOSFETであり、前記N−半導体デバイスがN型MOSFETである、請求項6に記載の方法。
  8. 前記歪み誘起コーティングは、前記保護されたN−半導体デバイスの性能を改善するために引張歪みをもたらし、前記引張歪みは、前記露出されたP−半導体デバイス内で緩和される、請求項1に記載の方法。
  9. 前記P−半導体デバイスがP型MOSFETであり、前記N−半導体デバイスがN型MOSFETである、請求項8に記載の方法。
  10. 前記歪み誘起コーティングがSiを含む、請求項1に記載の方法。
  11. P−半導体デバイス及びN−半導体デバイス内に異なる量の歪みをもつ、P−半導体デバイス及びN−半導体デバイスを含む半導体構造体であって、
    前記半導体構造体は、半導体基板上に形成されたP−半導体デバイス及びN−半導体デバイスを含み、
    前記P−半導体デバイス及び前記N−半導体デバイスのうちの一方の上に形成された、元の完全な厚さを有し、前記一方の半導体デバイス内に最適化された十分な歪みをもたらす歪み誘起コーティングと、
    前記P−半導体デバイス及び前記N−半導体デバイスのうちの他方の上に形成された、前記完全な厚さより薄い、エッチングされた減少した厚さを有する歪み誘起コーティングであって、前記減少した厚さの歪み誘起コーティングは、前記一方の半導体デバイス内に比べて、前記他方の半導体デバイス内でより少ない歪みを緩和し、前記他方の半導体デバイス内により少ない歪みをもたらす、歪み誘起コーティングと
    を備える、半導体構造体。
  12. 前記他方の半導体デバイスもまた、前記他方の半導体デバイス内の歪みをさらに緩和するために、注入された歪み減少ドーパントを有する、請求項11に記載の半導体構造体。
  13. 前記注入された歪み減少ドーパントは、前記他方の半導体デバイス内の前記歪みをさらに緩和するために、As又はGeを含む、請求項12に記載の半導体構造体。
  14. 前記歪み誘起コーティングは、前記一方のP−半導体デバイスの性能を改善するために圧縮歪みをもたらし、前記圧縮歪みは、前記他方のN−半導体デバイス内で緩和される、請求項11に記載の半導体構造体。
  15. 前記P−半導体デバイスがP型MOSFETであり、前記N−半導体デバイスがN型MOSFETである、請求項11に記載の半導体構造体。
  16. 前記歪み誘起コーティングは、前記一方のN−半導体デバイスの性能を改善するために引張歪みをもたらし、前記引張歪みは、前記他方のP−半導体デバイス内で緩和される、請求項11に記載の半導体構造体。
  17. 前記P−半導体デバイスがP型MOSFETであり、前記N−半導体デバイスがN型MOSFETである、請求項11に記載の半導体構造体。
  18. 前記歪み誘起コーティングがSiを含む、請求項11に記載の半導体構造体。
JP2007552237A 2005-01-19 2006-01-19 Cmosfet内の歪みを最適化するための方法 Expired - Fee Related JP4884397B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/905,745 US7432553B2 (en) 2005-01-19 2005-01-19 Structure and method to optimize strain in CMOSFETs
US10/905,745 2005-01-19
PCT/US2006/001768 WO2006078740A2 (en) 2005-01-19 2006-01-19 Structure and method to optimize strain in cmosfets

Publications (3)

Publication Number Publication Date
JP2008527755A true JP2008527755A (ja) 2008-07-24
JP2008527755A5 JP2008527755A5 (ja) 2008-12-11
JP4884397B2 JP4884397B2 (ja) 2012-02-29

Family

ID=36683015

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007552237A Expired - Fee Related JP4884397B2 (ja) 2005-01-19 2006-01-19 Cmosfet内の歪みを最適化するための方法

Country Status (6)

Country Link
US (3) US7432553B2 (ja)
EP (1) EP1842239A4 (ja)
JP (1) JP4884397B2 (ja)
CN (1) CN101496176A (ja)
TW (1) TW200634926A (ja)
WO (1) WO2006078740A2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009194366A (ja) * 2008-02-14 2009-08-27 Toshiba Corp 半導体装置の製造方法
JP2010232662A (ja) * 2009-03-27 2010-10-14 Internatl Business Mach Corp <Ibm> 半導体デバイス内の歪みを正規化する方法及び歪みが正規化された半導体デバイス
JP2013524511A (ja) * 2010-04-02 2013-06-17 インターナショナル・ビジネス・マシーンズ・コーポレーション 強誘電性電界効果トランジスタデバイス

Families Citing this family (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US7858458B2 (en) 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US20070013070A1 (en) * 2005-06-23 2007-01-18 Liang Mong S Semiconductor devices and methods of manufacture thereof
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7589385B2 (en) * 2005-07-26 2009-09-15 United Microelectronics Corp. Semiconductor CMOS transistors and method of manufacturing the same
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7297584B2 (en) * 2005-10-07 2007-11-20 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices having a dual stress liner
KR100651603B1 (ko) * 2005-12-13 2006-11-30 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7378308B2 (en) * 2006-03-30 2008-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with improved gap-filling
US7790540B2 (en) * 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
JP2008066484A (ja) * 2006-09-06 2008-03-21 Fujitsu Ltd Cmos半導体装置とその製造方法
JP2008131023A (ja) * 2006-11-27 2008-06-05 Nec Electronics Corp 半導体装置およびその製造方法
JP2008192686A (ja) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20080185655A1 (en) * 2007-02-02 2008-08-07 United Microelectronics Corp. Smiconductor device, method for fabricating thereof and method for increasing film stress
US20080203485A1 (en) * 2007-02-28 2008-08-28 International Business Machines Corporation Strained metal gate structure for cmos devices with improved channel mobility and methods of forming the same
US20080277726A1 (en) * 2007-05-08 2008-11-13 Doris Bruce B Devices with Metal Gate, High-k Dielectric, and Butted Electrodes
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20080315317A1 (en) * 2007-06-22 2008-12-25 Chartered Semiconductor Manufacturing Ltd. Semiconductor system having complementary strained channels
US20090068824A1 (en) * 2007-09-11 2009-03-12 United Microelectronics Corp. Fabricating method of semiconductor device
US20090095991A1 (en) * 2007-10-11 2009-04-16 International Business Machines Corporation Method of forming strained mosfet devices using phase transformable materials
JP5268385B2 (ja) * 2008-02-13 2013-08-21 パナソニック株式会社 半導体装置
JP2009200155A (ja) * 2008-02-20 2009-09-03 Nec Electronics Corp 半導体装置及びその製造方法
US8999863B2 (en) * 2008-06-05 2015-04-07 Globalfoundries Singapore Pte. Ltd. Stress liner for stress engineering
US7795679B2 (en) * 2008-07-24 2010-09-14 International Business Machines Corporation Device structures with a self-aligned damage layer and methods for forming such device structures
US8673757B2 (en) 2010-10-28 2014-03-18 International Business Machines Corporation Structure and method for using high-k material as an etch stop layer in dual stress layer process
US9159633B2 (en) 2013-09-13 2015-10-13 Globalfoundries Inc. Test macro for use with a multi-patterning lithography process
KR102231205B1 (ko) * 2014-11-19 2021-03-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
FR3076077B1 (fr) 2017-12-22 2020-02-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation de transistors a canaux contraints

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000216377A (ja) * 1999-01-20 2000-08-04 Nec Corp 半導体装置の製造方法
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
DE3419392C1 (de) 1984-05-24 1985-12-05 Deutsche Texaco Ag, 2000 Hamburg Verfahren zur kontinuierlichen Herstellung von Isopropylalkohol oder sek. Butylalkohol
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) * 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
WO1994027317A1 (de) 1993-05-06 1994-11-24 Siemens Aktiengesellschaft Herstellungsverfahren für bauelemente auf soi-substrat
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6074903A (en) 1998-06-16 2000-06-13 Siemens Aktiengesellschaft Method for forming electrical isolation for semiconductor devices
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (ja) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
KR100390567B1 (ko) 2000-12-30 2003-07-07 주식회사 동진쎄미켐 근적외선 분광기를 이용한 포토레지스트 박리공정제어방법 및 포토레지스트 박리액 조성물의 재생방법
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6809014B2 (en) * 2001-03-14 2004-10-26 Micron Technology, Inc. Method to fabricate surface p-channel CMOS
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6689676B1 (en) * 2002-07-26 2004-02-10 Motorola, Inc. Method for forming a semiconductor device structure in a semiconductor layer
JP4030383B2 (ja) * 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6955952B2 (en) * 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US6900502B2 (en) * 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US7316950B2 (en) * 2003-04-22 2008-01-08 National University Of Singapore Method of fabricating a CMOS device with dual metal gate electrodes
US6939814B2 (en) * 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7183221B2 (en) * 2003-11-06 2007-02-27 Texas Instruments Incorporated Method of fabricating a semiconductor having dual gate electrodes using a composition-altered metal layer
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
US20050214998A1 (en) * 2004-03-26 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Local stress control for CMOS performance enhancement
US7018883B2 (en) * 2004-05-05 2006-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Dual work function gate electrodes
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
JP4361886B2 (ja) * 2005-02-24 2009-11-11 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置およびその製造方法
JP2006324278A (ja) * 2005-05-17 2006-11-30 Sony Corp 半導体装置およびその製造方法
DE102005052054B4 (de) * 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000216377A (ja) * 1999-01-20 2000-08-04 Nec Corp 半導体装置の製造方法
WO2002043151A1 (en) * 2000-11-22 2002-05-30 Hitachi, Ltd Semiconductor device and method for fabricating the same
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009194366A (ja) * 2008-02-14 2009-08-27 Toshiba Corp 半導体装置の製造方法
JP2010232662A (ja) * 2009-03-27 2010-10-14 Internatl Business Mach Corp <Ibm> 半導体デバイス内の歪みを正規化する方法及び歪みが正規化された半導体デバイス
JP2013524511A (ja) * 2010-04-02 2013-06-17 インターナショナル・ビジネス・マシーンズ・コーポレーション 強誘電性電界効果トランジスタデバイス

Also Published As

Publication number Publication date
JP4884397B2 (ja) 2012-02-29
TW200634926A (en) 2006-10-01
US20080251853A1 (en) 2008-10-16
WO2006078740A2 (en) 2006-07-27
US7432553B2 (en) 2008-10-07
EP1842239A2 (en) 2007-10-10
EP1842239A4 (en) 2009-07-01
WO2006078740A3 (en) 2007-11-01
US20080070357A1 (en) 2008-03-20
US20060157795A1 (en) 2006-07-20
CN101496176A (zh) 2009-07-29

Similar Documents

Publication Publication Date Title
JP4884397B2 (ja) Cmosfet内の歪みを最適化するための方法
US7598545B2 (en) Using metal/metal nitride bilayers as gate electrodes in self-aligned aggressively scaled CMOS devices
US7935993B2 (en) Semiconductor device structure having enhanced performance FET device
JP5427148B2 (ja) 半導体装置
WO2010137093A1 (ja) 半導体装置及びその製造方法
US7247569B2 (en) Ultra-thin Si MOSFET device structure and method of manufacture
US20220102553A1 (en) Damage implantation of cap layer
US20090305471A1 (en) Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US20070052026A1 (en) Semiconductor device and method of manufacturing the same
US20120276720A1 (en) Control of threshold voltages in high-k metal gate stack and structures for cmos devices
US20060228862A1 (en) Fet design with long gate and dense pitch
US20020160593A1 (en) Method of enhanced oxidation of MOS transistor gate corners
JP2008140854A (ja) 半導体装置及びその製造方法
US8502325B2 (en) Metal high-K transistor having silicon sidewalls for reduced parasitic capacitance
US8247873B2 (en) Semiconductor device and method for manufacturing the same
US9281246B2 (en) Strain adjustment in the formation of MOS devices
US7820539B2 (en) Method for separately optimizing spacer width for two transistor groups using a recess spacer etch (RSE) integration
KR100351251B1 (ko) 반도체 소자의 트랜지스터 제조 방법
US7229885B2 (en) Formation of a disposable spacer to post dope a gate conductor
US20070145432A1 (en) Semiconductor device
KR20010045183A (ko) 반도체장치의 cmos 듀얼 게이트전극 제조방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081020

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20081020

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20110128

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110208

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20110222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20110222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110427

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20110628

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20111017

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20111024

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111115

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20111115

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111206

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141216

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees