JP2008177268A - フィン型電界効果トランジスタの製造方法 - Google Patents

フィン型電界効果トランジスタの製造方法 Download PDF

Info

Publication number
JP2008177268A
JP2008177268A JP2007007934A JP2007007934A JP2008177268A JP 2008177268 A JP2008177268 A JP 2008177268A JP 2007007934 A JP2007007934 A JP 2007007934A JP 2007007934 A JP2007007934 A JP 2007007934A JP 2008177268 A JP2008177268 A JP 2008177268A
Authority
JP
Japan
Prior art keywords
fin
oxide film
field effect
effect transistor
type field
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007007934A
Other languages
English (en)
Other versions
JP4421618B2 (ja
Inventor
Hajime Nakabayashi
肇 中林
Takuya Sugawara
卓也 菅原
Takashi Kobayashi
岳志 小林
Junichi Kitagawa
淳一 北川
Yoshiji Tanaka
義嗣 田中
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2007007934A priority Critical patent/JP4421618B2/ja
Priority to US11/972,989 priority patent/US7955922B2/en
Priority to KR1020080004402A priority patent/KR100957820B1/ko
Publication of JP2008177268A publication Critical patent/JP2008177268A/ja
Application granted granted Critical
Publication of JP4421618B2 publication Critical patent/JP4421618B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Thin Film Transistor (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

【課題】SOIウエハを用いて、くびれの形成が抑制されたフィン型電界効果トランジスタを簡単かつ確実に製造することができる方法を提供すること。
【解決手段】SOIウエハを用いて、単結晶シリコン層3を下地の埋め込み酸化物層2が露出するまで選択的にドライエッチングしてフィン状の突起部5を形成し、突起部5に生じたダメージを含む表面を酸化して犠牲酸化膜6を形成し、この犠牲酸化膜6をエッチングにより除去して、清浄な表面を有するフィンを形成し、フィン型電界効果トランジスタを製造するにあたり、エッチングの際に、犠牲酸化膜6のエッチング速度(r1 )が、埋め込み酸化物層2のエッチング速度(r2 )よりも高くなるようにする。
【選択図】図1

Description

本発明はSOIウエハを用いてフィン型の電界効果トランジスタを製造する方法に関する。
近年の超大規模集積回路(VLSI)の主な開発課題として、金属酸化物半導体電界効果トランジスタ(MOSFET)の駆動電流の増加と低消費電力化を両立させることが挙げられる。この課題を克服するために、シリコンよりもキャリア移動度の高い物質をチャネルに使う方法が検討されている。キャリア移動度の高い半導体物質としてゲルマニウムやガリウム砒素などの半導体物質が候補として挙げられているが、熱安定性の問題や、良好な半導体/絶縁体界面を形成することが困難であること等の理由から実用化の目処は立っていない。したがって、チャンネル物質は従来のままシリコンを用いて、MOSFETの微細化を進めることで駆動電流の増加をはかり、かつ過度なゲートリーク電流や短チャネル効果を抑制することで低消費電力化をはかるという手段をとってきた。
微細化を進めつつゲートリーク電流の過度な増加を抑制するためには誘電率の高いゲート絶縁膜を用いる必要があり、近年ではゲート絶縁膜として従来のシリコン酸化膜(SiO2 )に替わり誘電率の高いシリコン酸窒化膜(SiON)が用いられるようになってきている。しかしながら酸窒化膜を用いることによる誘電率向上効果は今後限界に達することが予想され、誘電率がさらに高いHigh−k絶縁膜が次世代のゲート絶縁膜として利用が期待されている。High−k絶縁膜に用いられる物質として、バンドギャップや誘電率と熱安定性の観点からHfO2 やHfシリケイト膜がもっとも実用性が高い。しかしながら、HfO2 やHfシリケイトで形成されたゲート絶縁膜上にPoly−Siや金属のゲート電極を用いた場合はゲート電極の仕事関数が変化し(フェルミレベルピニング)、閾値電圧が所望の値に制御できないという問題が近年報告されている。また、絶縁膜中の固定電荷や界面層との間に出来るダイポール、リモートフォノン散乱等の影響でキャリア移動度が低下することも知られている。
微細化を進めつつ短チャネル効果を抑制するためにチャネルのドーピング濃度を濃くする方法が取られている。しかしながら、ドーピング濃度を上げることは閾値電圧を所望の値よりも大きくし、かつキャリア移動度を低下させる。
これらの事実から、微細化による駆動能力増加と低消費電力化を同時に進めることは非常に困難な状況となってきている。
MOSFETの短チャネル効果を抑制し、駆動能力を向上させる構造としてダブルゲート構造が提案されている。ダブルゲート構造というのは、チャネルが形成される半導体層の厚さ方向の両面にゲート絶縁膜とゲート電極部が形成されたものである。二つのゲートはMOSFETのドレインからの電界力線を効果的に終端させてドレイン電位がチャネルのソース側端に影響を及ぼすことを防ぐので、短チャネル効果が大幅に抑制される。
実際のダブルゲート構造として、フィン型電界効果トランジスタが提案されている(例えば、特許文献1〜5参照)。
フィン型電界効果トランジスタでは、半導体基板上に絶縁膜を介してFin(ひれ)型形状の半導体層が形成され、この半導体層の両側面にはゲート絶縁膜とゲート電極が当該半導体層をはさむように形成されている。フィン型電界効果トランジスタはダブルゲート構造であるので短チャンネル効果を抑制できる。したがってチャネルのドーピング濃度を薄くすることができる。その結果、閾値電圧を所望の値に制御することができ、キャリア移動度が増加し、またチャネルが二面で形成されるので駆動電流を増加させることが可能となる。これらのことから、HfO2 やHfシリケイトで形成されたゲート絶縁膜をフィン型電界効果トランジスタに用いることで、駆動電流の増加とゲートリーク電流抑制と短チャンネル効果抑制とを同時に実現することが可能となる。
フィン型電界効果トランジスタの製造には、オフ状態の漏電流を低減することができるSOI(Silicon On Insulator)ウエハが好適に用いられる。
このSOIウエハは、単結晶シリコン基板ウエハに、絶縁材料からなる埋め込み酸化物(Buried Oxide)層と単結晶シリコン層とが積層されたものである。ここに、埋め込み酸化物層は、通常、熱酸化法によって形成される。
SOIウエハを用いてフィン型電界効果トランジスタを製造する際において、フィンの形成には、以下の方法が一般に用いられる。
まず、SOIウエハに、プラズマエッチング等のドライエッチングを施してフィン状の突起部を形成する。この突起部は最終的に形成されるフィンよりも大きなサイズとする。しかして、この突起部の表面にはプラズマ等によるダメージが生じる。
そこで、フィンの表面ラフネスを改善すると共に、幅の小さいフィンを形成するために、次工程として、ダメージを含む表面を酸化して犠牲酸化膜を形成し、これをフッ酸等によるウエットエッチングで除去する。これにより、清浄な表面を有し、最初に形成した突起部よりも小さいサイズ(最終的に形成されるものとほぼ同一サイズ)のフィンを形成することができる。
このように、ドライエッチングによるダメージを犠牲酸化膜のウエットエッチングにより除去する方法は、その操作が簡単であり、また、ドライエッチングのみでは形成できないサイズ(例えば幅が30〜40nm)のフィンを形成することができる。
しかしながら、ウエハとして上記のSOIウエハを用いた場合、すなわち、埋め込み酸化物層上の単結晶シリコン層にドライエッチングを施してフィン状の突起部を形成し、この突起部に生じたダメージを、犠牲酸化膜のウエットエッチングにより除去する場合には、図4に示すように、形成されるフィン11の基端部分にくびれ(アンダーカット)12が生じてしまう。
このくびれ12は次のようにして形成される。すなわち、ドライエッチングによって露出した埋め込み酸化物層13の上部が、犠牲酸化膜とともにウエットエッチングされ(ウエットエッチングされる前の埋め込み酸化物層を点線で示す)、さらに、ウエットエッチングの等方性のためにフィン11の直下(露出していない)のシリコン酸化物まで部分的にエッチング(サイドエッチング)されてしまうことにより形成されるものである。
そして、このようなくびれが生じると、その部分にゲート電極材料等の残渣が生じ、これがデバイス性能に悪影響(例えば、OFF電流増加等のトランジスタ特性劣化)を及ぼすおそれがある。従来は、このような残渣を薬液洗浄等で除去することが試みられてきたが、洗浄工程は煩雑であり、また、これらの残渣を洗浄工程によって完全に除去することは困難である。さらに、このようなくびれが生じると、フィンの倒れ等の強度的な問題も懸念される。
特開2003−204068号公報 特開2004−128320号公報 特開2005−332911号公報 米国特許第6252284号明細書 米国特許第6413802号明細書
本発明はかかる事情に鑑みてなされたものであって、SOIウエハを用いて、ドライエッチングにより生じたダメージを犠牲酸化膜のエッチングにより除去する工程を含むものでありながら、フィンの基端部分におけるくびれの形成が抑制された、さらには実質的にくびれのないフィン型電界効果トランジスタを簡単かつ確実に製造することができる方法を提供することを目的とする。
上記課題を解決するため、本発明では、Si基板上に絶縁材料からなる埋め込み酸化物層が形成され、さらにその上に単結晶シリコン層が形成されてなるSOIウエハを用いてフィン型電界効果トランジスタを製造する方法であって、前記単結晶シリコン層を下地の埋め込み酸化物層が露出するまで選択的にドライエッチングしてフィン状の突起部を形成する工程と、前記突起部に生じたダメージを含む表面を酸化して犠牲酸化膜を形成する工程と、この犠牲酸化膜をエッチングにより除去して、清浄な表面を有するフィンを形成する工程とを含み、前記エッチングの際に、前記犠牲酸化膜のエッチング速度(r1 )が、前記埋め込み酸化物層のエッチング速度(r2 )よりも高いことを特徴とするフィン型電界効果トランジスタの製造方法を提供する。
本発明において、前記犠牲酸化膜は、プラズマ酸化処理により形成することができ、好適には、RLSA (Radical Line Slot Antenna)マイクロ波プラズマ方式のプラズマ酸化処理により形成されたものである。
前記RLSAマイクロ波プラズマ方式のプラズマ処理においては、希ガスと酸素ガスとを含む処理ガスを用いることができる。具体的には、処理ガスとしてアルゴンガスと酸素ガスとを用いてもよく、アルゴンガスと酸素ガスと水素ガスとを用いてもよい。
また、前記RLSAマイクロ波プラズマ方式のプラズマ処理における処理温度が300〜600℃、特に400〜500℃であることが好ましい。
本発明において、前記犠牲酸化膜のエッチング速度と、前記埋め込み酸化物層のエッチング速度との比率(r1 /r2 )が1.2以上であることが好ましい。
本発明において、前記犠牲膜のエッチング除去の際にはウエットエッチングを採用することができ、特にフッ酸によるウエットエッチングにより犠牲酸化膜を除去することが好ましい。
さらに、本発明では、Si基板上に絶縁材料からなる埋め込み酸化物層が形成され、さらにその上に単結晶シリコン層が形成されてなるSOIウエハを用いてフィン型電界効果トランジスタを製造する方法であって、前記単結晶シリコン層を下地の埋め込み酸化物層が露出するまで選択的にドライエッチングしてフィン状の突起部を形成する工程と、前記突起部に生じたダメージを含む表面を酸化して犠牲酸化膜を形成する工程と、この犠牲酸化膜をウエットエッチングにより除去して、清浄な表面を有するフィンを形成する工程とを含み、前記ウエットエッチングの際に使用するエッチング液に対して、前記犠牲酸化膜のエッチング速度が、前記埋め込み酸化物層のエッチング速度よりも高いことを特徴とするフィン型電界効果トランジスタの製造方法を提供する。
(1)本発明の製造方法によれば、SOIウエハを用いて、ドライエッチングにより生じたダメージを犠牲酸化膜のエッチングにより除去する工程を含むものでありながら、くびれの形成が抑制されたフィン型電界効果トランジスタを簡単かつ確実に製造することができる。すなわち、犠牲酸化膜のエッチング速度(r1 )を埋め込み酸化物層のエッチング速度(r2 )より高くすることにより、犠牲酸化膜の除去工程において、くびれの原因となる埋め込み酸化物層のエッチングがあまり進行しないうちに犠牲酸化膜の除去を完了させることができる。この結果、得られるフィン型電界効果トランジスタは、フィンの基端部分においてくびれが抑制されたものとなる。このとき埋め込み酸化膜のエッチングが進行する前に犠牲酸化膜の除去を完了させることができれば、実質的にくびれのないものとすることができる。
(2)プラズマ処理、特に、RLSAマイクロ波プラズマ方式のプラズマ処理により形成される犠牲酸化膜は、熱酸化法により形成された埋め込み酸化物層と比較して、フッ酸に対するエッチング速度が高いものとなる。従って、プラズマ処理(特に、RLSAマイクロ波プラズマ方式のプラズマ処理)によって犠牲酸化膜を形成することにより、フィンの基端部分において実質的にくびれのないフィン型電界効果トランジスタを確実に製造することができる。
(3)プラズマ処理、特に、RLSAマイクロ波プラズマ方式のプラズマ処理により形成される犠牲酸化膜は、熱酸化法により形成される犠牲酸化膜と比較して、表面の平坦性に優れている。更に、プラズマ処理により形成される犠牲酸化膜を除去した後のフィンの表面状態は、熱酸化法により形成される犠牲酸化膜を除去した後のフィンの表面状態よりも、その平坦性が優れたものとなる。
以下、本発明の実施形態について説明する。
図1(a)〜(f)は、本発明の一実施形態に係るフィン型電界効果トランジスタの製造方法を示す工程断面図である。この実施形態に係る製造方法は、SOIウエハを用いる製造方法であって、ドライエッチングにより突起部を形成する工程と、突起部に犠牲酸化膜を形成する工程と、犠牲酸化膜をウエットエッチングにより除去する工程とを含む。
本実施形態においては、まず、図1(a)に示すような層構成のSOIウエハを準備する。このSOIウエハは、単結晶シリコンからなるシリコン基板1と、埋め込み酸化物層2と、単結晶シリコン層3と、ハードマスク4とが積層されてなる。
埋め込み酸化物層2は、熱酸化法により形成されたSiO2 からなる。ここに、埋め込み酸化物層2を形成するための熱酸化温度としては、例えば850℃とされる。
埋め込み酸化物層2の膜厚は、例えば130〜160nmとされる。この範囲であれば、電界効果トランジスタのオフ状態の漏電流を十分に抑制することができる。
埋め込み酸化物層2上の単結晶シリコン層3の膜厚は、最終的に形成されるフィンの高さを規定するものであり、例えば30〜200nmとされる。
単結晶シリコン層3上のハードマスク4は、例えばSiNからなり、その膜厚は、例えば10〜100nmとされる。
ドライエッチングにより突起部を形成する工程では、先ず、従来公知の方法に従って、リソグラフィおよびドライエッチングを行い、図1(b)に示すように、ハードマスク4を部分的に除去する。
次に、単結晶シリコン層3のうちハードマスク4が除去されて露出した表面に対応する部分を、埋め込み酸化物層2が露出するまで、ドライエッチングにより除去する。これにより、図1(c)に示すように、フィン状の突起部5が、埋め込み酸化物層2の上に形成される。その後、リン酸およびフッ酸処理を用い、図1(d)に示すように、突起部5の上のハードマスク4を除去する。
突起部5を形成するためのドライエッチングとしては特に限定されるものではなく、従来公知のプラズマエッチング装置等を使用し、常法に従って行うことができる。
ドライエッチングの際にダメージ層が形成されることから、突起部5の幅を最終的に形成されるフィンの幅よりも大きく設定して、ダメージ層を除去する際の削り代を確保するようにする。
例えば、幅(w)が30〜40nmのフィンを形成する場合において、形成される突起部5の幅(w0 )は60〜80nmであることが好ましい。この場合に、フィンの幅(w)に対する突起部の幅(w0 )の比率(w0
/w)は1.5〜2.0であることが好ましい。
比率(w0 /w)が1.5未満である場合には、ダメージ層除去の際の削り代を十分に確保することができない。一方、(w0 /w)が2.0を超える場合には、削り代が過大となって、犠牲酸化膜の除去に長い時間を要したり、埋め込み酸化物層2のエッチングが必要以上に進行したりする虞がある。
突起部に犠牲酸化膜を形成する工程では、ダメージを含む突起部5の表面を酸化することにより、図1(e)に示すような犠牲酸化膜6を形成する。
次のウエットエッチング工程により清浄な表面のフィンを形成するために、犠牲酸化膜6は、突起部5のダメージが存在する領域(ダメージ層)を包含できる膜厚を有していることが必要である。犠牲酸化膜6の膜厚は、例えば30〜40nmとされ、この膜厚は酸化処理条件を制御することにより適宜調整することができる。
この犠牲酸化膜6には、エッチング液、典型的にはフッ酸に対するエッチング速度(r1 )が、埋め込み酸化物層2(熱酸化法により形成されたSiO2 層)のエッチング液に対するエッチング速度(r2 )よりも高いことが要求される。
犠牲酸化膜6のエッチング速度(r1 )が、埋め込み酸化物層2のエッチング速度(r2 )よりも高いことにより、後述する犠牲酸化膜の除去工程において、くびれの原因となる埋め込み酸化物層のエッチングがあまり進行しないうちに犠牲酸化膜の除去を完了させることができる。すなわち、犠牲酸化膜の除去が完了した時点で、埋め込み酸化物層では、くびれがあまり進行していない状態とすることができるので、得られるフィン型電界効果トランジスタは、フィンの基端部分においてくびれの抑制されたものとなる。好適には、犠牲酸化膜の除去工程において、埋め込み酸化物層のエッチングが進行する前に犠牲酸化膜の除去が完了することである。この場合には、埋め込み酸化物層では、くびれの形成に至るほどにエッチングが進行していないので、得られるフィン型電界効果トランジスタは、フィンの基端部分において実質的にくびれのないものとなる。
エッチング速度の比率(r1 /r2 )としては、1.0を超えるものであればよいが、1.2以上であることが好ましく、更に好ましくは1.2〜1.6とされる。
犠牲酸化膜6の形成方法(突起部5の表面の酸化方法)としては、プラズマ酸化処理により酸化する方法、すなわち、酸素ガスより誘導される反応種(ラジカルおよび/またはイオン)を含むプラズマに突起部5を晒す方法を挙げることができる。
犠牲酸化膜6を形成するために特に好適なプラズマ酸化処理として、RLSA (Radical Line Slot Antenna)マイクロ波プラズマ方式のプラズマ処理を挙げることができる。
このRLSAマイクロ波方式のプラズマ処理は、低電子温度で高密度のプラズマで低温処理が可能であることから、フッ酸をはじめとする各種のエッチング液に対するエッチング速度が高いシリコン酸化物膜(犠牲酸化膜)を形成することができ、形成される犠牲酸化膜は、熱酸化法により形成されるものと比較して表面の平坦性が優れている。また、下地へのプラズマダメージが殆どなく、犠牲酸化膜を除去した後のフィン表面の平坦性にも優れている。さらに、熱酸化法を採用したときに懸念される高温処理条件に伴う特性劣化の問題も回避することができる。
このようなRLSAマイクロ波プラズマ方式のプラズマ処理装置としては、例えば、国際公開第02/058130号パンフレットに開示されたものが知られており、本発明においてもこのような装置を採用することができる。具体的には、図2に示すように、略円筒状のチャンバー51と、その中に設けられたサセプタ52と、チャンバー51の側壁に設けられたガス導入部53と、チャンバー51の上部の開口部に臨むように設けられ、多数のマイクロ波透過孔54aが形成された平面アンテナ54と、マイクロ波を発生させるマイクロ波発生部55と、マイクロ波発生部55を平面アンテナ54に導くマイクロ波伝送機構56とを有している。平面アンテナ54の下方には誘電体からなるマイクロ波透過板61が設けられ、平面アンテナ54の上にはシールド部材62が設けられている。マイクロ波伝送機構56は、マイクロ波発生部55からマイクロ波を導く水平方向に伸びる導波管71と、平面アンテナ54から上方へ伸びる内導体73および外導体74からなる同軸導波管72と、導波管71と同軸導波管72との間に設けられたモード変換機構75とを有している。なお、符号63は排気管である。
このように構成されるプラズマ処理装置は、マイクロ波発生部55で発生したマイクロ波をマイクロ波伝送機構56を介して所定のモードで平面アンテナ54に導き、平面アンテナ54のマイクロ波透過孔54aおよびマイクロ波透過板61を通ってチャンバー51内に均一に供給し、そのマイクロ波により、ガス導入部53から供給された希ガスおよび酸素ガスを含む処理ガスをプラズマ化してそのプラズマ(酸素ガスより誘導される反応種)により、SOIウエハSに形成された突起部5を構成する単結晶シリコンを酸化してシリコン酸化膜(SiO2 膜)を形成する。
RLSAマイクロ波プラズマ方式のプラズマ処理においては、希ガスと酸素ガスとを含む処理ガスを用いることが好ましい。ここに、希ガスとしては、ヘリウム、アルゴン、クリプトン、キセノンなどを例示することができ、この中ではアルゴンが好ましい。
好適な処理ガスとして、具体的には、アルゴンガスと酸素ガスとの混合ガス、アルゴンガスと酸素ガスと水素ガスとの混合ガスを挙げることができる。
RLSAマイクロ波プラズマ方式のプラズマ処理における処理温度(酸化温度)としては、300〜600℃であることが好ましく、更に好ましくは400〜500℃とされる。この処理温度が高過ぎる場合には、RLSAマイクロ波方式を採用することによる上記の効果を十分に発揮することができない。他方、この処理温度が低過ぎる場合には、酸化速度が著しく低下し、量産性に問題が生じる。
RLSAマイクロ波プラズマ方式のプラズマ処理において、処理圧力としては、0.1〜1Torr(13.3〜133Pa)あることが好ましく、更に好ましくは0.1〜0.5Torr(13.3〜6.65Pa)とされる。
マイクロ波の出力としては2〜4W/cm2であることが好ましく、更に好ましくは2.5〜4W/cm2とされる。
希ガスの流量としては100〜2000mL/min(sccm)であることが好ましく、更に好ましくは500〜1000mL/min(sccm)とされる。
酸素ガスの流量としては5〜50mL/min(sccm)であることが好ましく、更に好ましくは5〜10mL/min(sccm) とされる。
図3は、RLSAマイクロ波プラズマ方式のプラズマ処理において、処理温度(300〜600℃)と、形成されるシリコン酸化膜の2%フッ酸に対するエッチング速度との関係を示し、併せて、熱酸化法(処理温度=1000℃)により形成されるシリコン酸化膜のフッ酸に対するエッチング速度を示している。
ここに、プラズマ処理では、アルゴンガスと酸素ガスとを使用した場合(図中−○−)、アルゴンガスと酸素ガスと水素ガスとを使用した場合(図中−■−)の2種類について測定した。
図3に示す結果から、RLSAマイクロ波プラズマ方式のプラズマ処理により形成されるシリコン酸化膜(犠牲酸化膜6に相当)は、熱酸化法により形成されるシリコン酸化膜(埋め込み酸化物層2に相当)と比較して、フッ酸に対するエッチング速度が高いものとなることが理解される。
また、RLSAマイクロ波プラズマ方式のプラズマ処理によりポリシリコンを酸化して形成されるシリコン酸化膜の表面粗さは4.3nm、熱酸化法によりポリシリコンを酸化して形成されるシリコン酸化膜の表面粗さは4.6nmであった。このことから、プラズマ処理により形成されるシリコン酸化膜は、熱酸化法により形成されるシリコン酸化膜よりも表面の平坦性に優れているといえる。
犠牲酸化膜をウエットエッチングにより除去する工程では、図1(f)に示すように、適宜のエッチング液により犠牲酸化膜6を除去する。使用するエッチング液としては、シリコン酸化物はエッチング可能であるが、単結晶シリコンをエッチングしない(フィンとなる下地に新たなダメージを与えない)薬液であって、当該薬液を使用した場合に、犠牲酸化膜6の方が埋め込み酸化物層2よりも高い速度でエッチングされるものの中から選択される。具体的には、HF濃度が0.5〜5質量%のフッ酸を使用することが好ましい。
犠牲酸化膜6を除去することにより、プラズマエッチングによって突起部5の表面に生じたダメージが除去され、清浄な表面を有するフィン7が埋め込み酸化物層2上に形成される。このように形成されたフィン7の幅(w)は、例えば30〜40nmとされる。
犠牲酸化膜6の除去工程において、シリコン酸化物である埋め込み酸化物層2も同時にエッチングされるが、プラズマ処理による犠牲酸化膜6のエッチング速度は、熱酸化法による埋め込み酸化物層2のエッチング速度より高いので、犠牲酸化膜6の除去が完了した時点において、埋め込み酸化物層2ではくびれがあまり進行していない状態となり、得られるフィン型電界効果トランジスタのフィン7の基端部分におけるくびれを抑制することができる。条件を好適なものとすることにより、犠牲酸化膜6の除去が完了した時点において埋め込み酸化物層2にくびれがほとんど形成されない状態とすることができ、フィン7の基端部に実質的にくびれが形成されないフィン型電界効果トランジスタを得ることも可能である。
また、プラズマ酸化処理、特にRLSAマイクロ波プラズマ方式により犠牲酸化膜6を除去した後のフィン7の表面状態は、平坦性に優れている。さらに、RLSAマイクロ波プラズマ方式のプラズマ酸化処理は低温(例えば300〜600℃)で行われるために、特性劣化も生じない。
以上の各工程を経た後、ゲート配線の形成や拡散領域の形成等の工程を常法に従って実施し、所望のフィン型電界効果トランジスタを得る。
このように、本実施形態で製造されたフィン型電界効果トランジスタはフィン7の基端部のくびれの形成が抑制されており、好適にはくびれが実質的に存在しないので、くびれにゲート配線材料等が残留することに起因するデバイス性能への悪影響を抑制することができる。また、フィン7が埋め込み酸化物層2によって確実に保持されるので、フィンが倒れるという強度的な問題も生じさせない。
以上、本発明の一実施形態について説明したが、本発明の製造方法はこれらに限定されるものではなく、種々の変更が可能である。
例えば、上記実施形態では、犠牲酸化膜を除去するための工程として、フッ酸によるウエットエッチングを用いたが、エッチング液はフッ酸に限らず他のものでもよく、またウエットエッチングに代えて、窒素もしくは窒素含有化合物(例えばNH3 ガス)より誘導される反応種と、フッ素もしくはフッ素含有化合物(例えばHFガス)より誘導される反応種とを犠牲酸化膜に供給することによって当該犠牲酸化膜を改質すると共に、これを加熱して気化させる工程(エッチング)を採用することもできる。このプロセスはプラズマレスであるからドライプロセスでありながら低ダメージの処理を行うことができる。この場合において、反応種の供給プロセスを最適化することで犠牲酸化膜を優先的・選択的に改質することにより、犠牲酸化膜を選択的に除去することが可能となる。このような犠牲酸化膜を改質・気化して除去するこの手段は、エッチングの異方性をもたせることができ、埋め込み酸化物層の露出部分がある程度エッチングされる場合であっても、フィンの直下(非露出部分)のシリコン酸化物がサイドエッチングされること(くびれの形成)を有効に防止できる可能性がある。
また、このようなプロセスの他、リモートプラズマ等によりNFラジカル等のラジカルを供給して犠牲酸化膜を除去するプロセスも採用することができる。この処理も低ダメージプロセスであり、このような処理に適している。
本発明の製造方法を示す工程断面図。 本発明の製造方法で使用するプラズマ処理装置の一例を示す断面図。 シリコン酸化膜を形成するための処理温度と、シリコン酸化膜のエッチング速度との関係を示す説明図。 従来の製造方法により、フィンの基端部分にくびれが生じた状態を示す断面図。
符号の説明
1;シリコン基板
2;埋め込み酸化物層
3;単結晶シリコン層
4;ハードマスク
5;突起部
6;犠牲酸化膜
7;フィン
51;チャンバー
52;サセプタ
53;ガス導入部
54;平面アンテナ
54a;マイクロ波透過孔
55;マイクロ波発生部
56;マイクロ波伝送機構
61;マイクロ波透過板
62;シールド部材
63;排気管
71;導波管
72;同軸導波管
73;内導体
74;外導体
75;モード変換機構

Claims (12)

  1. Si基板上に絶縁材料からなる埋め込み酸化物層が形成され、さらにその上に単結晶シリコン層が形成されてなるSOIウエハを用いてフィン型電界効果トランジスタを製造する方法であって、
    前記単結晶シリコン層を下地の埋め込み酸化物層が露出するまで選択的にドライエッチングしてフィン状の突起部を形成する工程と、
    前記突起部に生じたダメージを含む表面を酸化して犠牲酸化膜を形成する工程と、
    この犠牲酸化膜をエッチングにより除去して、清浄な表面を有するフィンを形成する工程とを含み、
    前記エッチングの際に、前記犠牲酸化膜のエッチング速度(r1 )が、前記埋め込み酸化物層のエッチング速度(r2 )よりも大きいことを特徴とするフィン型電界効果トランジスタの製造方法。
  2. 前記犠牲酸化膜が、プラズマ酸化処理により形成されることを特徴とする請求項1に記載のフィン型電界効果トランジスタの製造方法。
  3. 前記犠牲酸化膜が、RLSA (Radical Line Slot Antenna)マイクロ波プラズマ方式のプラズマ酸化処理により形成されることを特徴とする請求項2に記載のフィン型電界効果トランジスタの製造方法。
  4. 前記RLSAマイクロ波プラズマ方式のプラズマ処理において、希ガスと酸素ガスとを含む処理ガスを用いることを特徴とする請求項3に記載のフィン型電界効果トランジスタの製造方法。
  5. 前記RLSAマイクロ波プラズマ方式のプラズマ処理において、処理ガスとしてアルゴンガスと酸素ガスとを用いることを特徴とする請求項4に記載のフィン型電界効果トランジスタの製造方法。
  6. 前記RLSAマイクロ波プラズマ方式のプラズマ処理において、処理ガスとしてアルゴンガスと酸素ガスと水素ガスとを用いることを特徴とする請求項3に記載のフィン型電界効果トランジスタの製造方法。
  7. 前記RLSAマイクロ波プラズマ方式のプラズマ処理における処理温度が300〜600℃である請求項3から請求項6のいずれかに記載のフィン型電界効果トランジスタの製造方法。
  8. 前記RLSAマイクロ波プラズマ方式のプラズマ処理における処理温度が400〜500℃である請求項3から請求項6のいずれかに記載のフィン型電界効果トランジスタの製造方法。
  9. 前記犠牲酸化膜のエッチング速度と、前記埋め込み酸化物層のエッチング速度との比率(r1 /r2 )が1.2以上であることを特徴とする請求項1から請求項8のいずれかに記載のフィン型電界効果トランジスタの製造方法。
  10. ウエットエッチングにより犠牲酸化膜を除去することを特徴とする請求項1から請求項9のいずれかに記載のフィン型電界効果トランジスタの製造方法。
  11. ウエットエッチングの際のエッチング液としてフッ酸を用いることを特徴とする請求項10に記載のフィン型電界効果トランジスタの製造方法。
  12. Si基板上に絶縁材料からなる埋め込み酸化物層が形成され、さらにその上に単結晶シリコン層が形成されてなるSOIウエハを用いてフィン型電界効果トランジスタを製造する方法であって、
    前記単結晶シリコン層を下地の埋め込み酸化物層が露出するまで選択的にドライエッチングしてフィン状の突起部を形成する工程と、
    前記突起部に生じたダメージを含む表面を酸化して犠牲酸化膜を形成する工程と、
    この犠牲酸化膜をウエットエッチングにより除去して、清浄な表面を有するフィンを形成する工程とを含み、
    前記ウエットエッチングの際に使用するエッチング液に対して、前記犠牲酸化膜のエッチング速度が、前記埋め込み酸化物層のエッチング速度よりも高いことを特徴とするフィン型電界効果トランジスタの製造方法。
JP2007007934A 2007-01-17 2007-01-17 フィン型電界効果トランジスタの製造方法 Expired - Fee Related JP4421618B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2007007934A JP4421618B2 (ja) 2007-01-17 2007-01-17 フィン型電界効果トランジスタの製造方法
US11/972,989 US7955922B2 (en) 2007-01-17 2008-01-11 Manufacturing method of fin-type field effect transistor
KR1020080004402A KR100957820B1 (ko) 2007-01-17 2008-01-15 핀형 전계 효과 트랜지스터의 제조 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007007934A JP4421618B2 (ja) 2007-01-17 2007-01-17 フィン型電界効果トランジスタの製造方法

Publications (2)

Publication Number Publication Date
JP2008177268A true JP2008177268A (ja) 2008-07-31
JP4421618B2 JP4421618B2 (ja) 2010-02-24

Family

ID=39618096

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007007934A Expired - Fee Related JP4421618B2 (ja) 2007-01-17 2007-01-17 フィン型電界効果トランジスタの製造方法

Country Status (3)

Country Link
US (1) US7955922B2 (ja)
JP (1) JP4421618B2 (ja)
KR (1) KR100957820B1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010040649A (ja) * 2008-08-01 2010-02-18 Sumitomo Electric Ind Ltd 半導体発光素子の製造方法

Families Citing this family (137)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8106459B2 (en) 2008-05-06 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs having dielectric punch-through stoppers
US7910482B2 (en) * 2008-05-30 2011-03-22 Freescale Semiconductor, Inc. Method of forming a finFET and structure
US7821081B2 (en) * 2008-06-05 2010-10-26 International Business Machines Corporation Method and apparatus for flatband voltage tuning of high-k field effect transistors
US8263462B2 (en) * 2008-12-31 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric punch-through stoppers for forming FinFETs having dual fin heights
US8293616B2 (en) 2009-02-24 2012-10-23 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabrication of semiconductor devices with low capacitance
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
KR101145334B1 (ko) * 2010-05-31 2012-05-14 에스케이하이닉스 주식회사 반도체 장치 제조방법
US20120083127A1 (en) * 2010-09-30 2012-04-05 Tokyo Electron Limited Method for forming a pattern and a semiconductor device manufacturing method
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) * 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150145042A1 (en) * 2013-11-25 2015-05-28 International Business Machines Corporation Transistors having multiple lateral channel dimensions
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9530659B2 (en) 2014-05-02 2016-12-27 International Business Machines Corporation Structure for preventing buried oxide gouging during planar and FinFET Processing on SOI
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9349868B1 (en) * 2015-06-26 2016-05-24 International Business Machines Corporation Gate all-around FinFET device and a method of manufacturing same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR101932757B1 (ko) * 2017-04-19 2018-12-26 한국과학기술원 단결정 실리콘 박막, 이의 제조방법 및 이를 포함하는 전자소자
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000022114A (ja) * 1998-07-02 2000-01-21 Rohm Co Ltd 半導体記憶装置およびその製造方法
US6252284B1 (en) 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
JP2003224215A (ja) * 2001-11-22 2003-08-08 Innotech Corp トランジスタとそれを用いた半導体メモリ、およびトランジスタの駆動方法
US6800905B2 (en) 2001-12-14 2004-10-05 International Business Machines Corporation Implanted asymmetric doped polysilicon gate FinFET
KR100420070B1 (ko) * 2002-02-01 2004-02-25 한국과학기술원 이중-게이트 플래쉬 메모리소자 및 그 제조방법
JP2004128320A (ja) 2002-10-04 2004-04-22 Sony Corp 絶縁ゲート電界効果トランジスタ、および、その製造方法
CN100378901C (zh) 2002-11-25 2008-04-02 国际商业机器公司 应变鳍型场效应晶体管互补金属氧化物半导体器件结构
KR100601911B1 (ko) * 2003-12-30 2006-07-14 동부일렉트로닉스 주식회사 핀 펫 소자 제조 방법
US20050221513A1 (en) * 2004-03-31 2005-10-06 Tokyo Electron Limited Method of controlling trimming of a gate electrode structure
JP3964885B2 (ja) 2004-05-19 2007-08-22 株式会社東芝 半導体装置及びその製造方法
CN1993813B (zh) * 2004-08-13 2010-12-22 东京毅力科创株式会社 半导体装置的制造方法和等离子体氧化处理方法
JP5229711B2 (ja) 2006-12-25 2013-07-03 国立大学法人名古屋大学 パターン形成方法、および半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010040649A (ja) * 2008-08-01 2010-02-18 Sumitomo Electric Ind Ltd 半導体発光素子の製造方法

Also Published As

Publication number Publication date
US20080171407A1 (en) 2008-07-17
KR20080067970A (ko) 2008-07-22
KR100957820B1 (ko) 2010-05-13
US7955922B2 (en) 2011-06-07
JP4421618B2 (ja) 2010-02-24

Similar Documents

Publication Publication Date Title
JP4421618B2 (ja) フィン型電界効果トランジスタの製造方法
TWI761876B (zh) 半導體裝置的製造方法及電漿處理裝置
US9553025B2 (en) Selective Fin-shaping process
JP4598639B2 (ja) 半導体装置の製造方法
CN104008994B (zh) 半导体装置的制造方法
US9508609B2 (en) Fin field effect transistor and method for forming the same
CN106298921B (zh) 半导体器件、鳍式场效应晶体管及其形成方法
US8518786B2 (en) Process for forming a metal oxide semiconductor devices
CN107591362B (zh) 半导体结构及其形成方法
CN111799173A (zh) 半导体元件的制造方法以及等离子体处理装置
CN106847683B (zh) 提高鳍式场效应管性能的方法
CN106298919B (zh) 半导体器件、鳍式场效应晶体管及其形成方法
US11011640B2 (en) Fin field effect transistor
US10937661B2 (en) Method for removing silicon oxide and integrated circuit manufacturing process
US8722485B1 (en) Integrated circuits having replacement gate structures and methods for fabricating the same
KR20080055708A (ko) 리세스 에칭 방법들
CN104425264B (zh) 半导体结构的形成方法
JP4229762B2 (ja) 半導体装置の製造方法
CN109309088B (zh) 半导体结构及其形成方法
JP2002217414A (ja) 半導体装置およびその製造方法
CN110034187B (zh) 半导体结构及其形成方法
CN113745108A (zh) 半导体结构及其形成方法
CN107293489A (zh) 改善鳍式场效应管性能的方法
CN113113308A (zh) 半导体器件及其形成方法
CN109962036B (zh) 半导体结构及其形成方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090220

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090331

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20091201

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20091202

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121211

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4421618

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121211

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151211

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees