JP2007150298A - 導体−誘電体構造およびこれを作成するための方法 - Google Patents

導体−誘電体構造およびこれを作成するための方法 Download PDF

Info

Publication number
JP2007150298A
JP2007150298A JP2006310984A JP2006310984A JP2007150298A JP 2007150298 A JP2007150298 A JP 2007150298A JP 2006310984 A JP2006310984 A JP 2006310984A JP 2006310984 A JP2006310984 A JP 2006310984A JP 2007150298 A JP2007150298 A JP 2007150298A
Authority
JP
Japan
Prior art keywords
seed layer
plating
layer
sacrificial
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006310984A
Other languages
English (en)
Other versions
JP5255198B2 (ja
Inventor
David L Rath
デビッド・エル・ラス
Chao Yang Chin
チン・チャオ・ヤン
Ponoth Shom
ショム・ポノス
Keith Kwong Hon Wong
キース・ウォン・ホン・ウォン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2007150298A publication Critical patent/JP2007150298A/ja
Application granted granted Critical
Publication of JP5255198B2 publication Critical patent/JP5255198B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76868Forming or treating discontinuous thin films, e.g. repair, enhancement or reinforcement of discontinuous thin films
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Abstract

【課題】導体−誘電体構造およびこれを作成するための方法を提供すること。
【解決手段】導体−誘電体相互接続構造は、パターン形成されたフィーチャをその内部に有する誘電体層を含む構造を用意し、パターン形成されたフィーチャ内の誘電体層の表面にめっきシード層を付着させ、パターン形成されたフィーチャ内のめっきシード層の表面に犠牲シード層を付着させ、犠牲シード層の厚さを逆めっきによって低減させ、パターン形成されたフィーチャ内の犠牲シード層の表面に導電性金属をめっきすることによって作成される。さらに、パターン形成されたフィーチャをその内部に有する誘電体層と、パターン形成されたフィーチャ内の誘電体層の表面のめっきシード層と、パターン形成されたフィーチャ内に位置する不連続な犠牲シード層とを含む構造も提供される。
【選択図】図1

Description

本開示は、導体−誘電体構造およびこの導体−誘電体構造を作成するための方法に関する。詳細には本開示は、BEOL(Back End of the Line)相互接続構造を作成するための方法に関する。本開示は一般に、メタライゼーション内のボイディング(voiding)、シーム(seam)などの不連続部の発生を低減させる新規のプロセスに関する。本開示によれば、犠牲シード層を使用して、その下のめっきシード層の酸化を防ぐ。
半導体技術の製造中には、シリコン基板などの半導体基板上に様々な材料の膜が順番に付着され、パターン形成される。バック−エンド−オブ−ライン(BEOL)処理について言えば、これらの材料には、相互接続構造のためのメタライゼーション・レベル、絶縁およびキャッピング(capping)のために使用される誘電体レベル、ならびに相互接続の拡散および酸化を防ぐための障壁層が含まれる。相互接続メタライゼーション向けには現在、デュアル・ダマシン法で製作された銅メタライゼーションが選択されている。誘電体材料には、シラン(SiH)またはオルトケイ酸テトラエチル(TEOS)前駆物質を使用したプラズマ化学蒸着(PECVD)によって付着させた酸化シリコン、あるいは、化学蒸着(CVD)によって付着させた、高性能相互接続応用向けの有機ケイ酸塩ガラス(organosilicateglass)またはホウリンケイ酸塩ガラス(borophosphosilicate glass:BPSG)が含まれる。有機ケイ酸塩ガラスは、高密度の形態または微細孔を含む形態として付着させることができる。
障壁層の選択肢には、タンタル、窒化タンタル、窒化タングステン、ルテニウム、イリジウムおよびチタン、ならびにこれらの金属の合金が含まれる。
クリティカル・ディメンジョン(critical dimension:CD)が低下するにつれ、拡散障壁およびCuめっきシードの付着に関し、物理蒸着(PVD)技法に起因する共形性および被覆率の問題はいっそう深刻になる。これらの問題は、図9に示すような中心および縁のボイドなど、めっき中の充填の問題を引き起こし、この充填の問題は、信頼性に対する懸念および歩留りの低下を引き起こす。この問題を回避する1つの方法は、PVD材料の全厚を低減させ、拡散障壁とめっきシードの両方の役目を果たす単一のライナ材料層を利用する方法である。前述の問題を克服する他の方法は、従来のPVD技法に比べて良好なステップ・カバレージおよび共形性を提供する化学蒸着(CVD)または原子層付着(ALD)を使用する方法である。
このような材料の一例がルテニウムである(O. Chyan et al.,"Electrodeposition of Coper Thin Film on Ruthenium: A Potential DiffusionBarrier for Copper Interconnects," J. Electrochem. Soc., 150(5), p. C347,2003)。しかし、Ruの表面にCuをめっきすることの問題は、Ru表面が、空気にさらされると酸化しやすいことであり、表面が酸化すると導電率(およびおそらくは付着力)が低下し、その結果めっきが不良となる。パターン形成された構造の極めて不良な充填とは別に、表面酸化物へのCuの不十分な付着力は、エレクトロマイグレーションおよび応力信頼性の懸念を引き起こす。めっき前にフォーミング・ガスおよび水素プラズマに暴露してこの表面酸化物を還元するなどのプロセスの使用によって、この問題に対処することが提案されている。これらの技法の欠点は、1)表面酸化物が再び成長する前に還元されたウェハをめっきしなければならない時間枠(キュー・タイム(Queuetime))が存在すること、ならびに2)還元プロセスに必要な機械類による製造コストの増大および未処理の(raw)プロセス時間の増大などである。
米国特許出願公開 2004/178078 A1 米国特許 No. 5,486,282 米国特許出願 No. 09/348,632 米国特許 No. 6,331,237 B1 O. Chyan et al.,"Electrodeposition of Coper Thin Film on Ruthenium: A Potential DiffusionBarrier for Copper Interconnects," J. Electrochem. Soc., 150(5), p. C347,2003
相互接続内のめっきボイドを排除しまたは少なくとも最小限に抑えることができ、現在のめっきツールおよびめっきプロセスと両立する方法が望ましい。
本開示は、相互接続フィーチャ内のめっきボイドを少なくとも最小限に抑えることを可能にする。
具体的には本開示の一態様は相互接続構造を作成するための方法に関し、この方法は、パターン形成されたフィーチャをその内部に有する誘電体層を含む構造を用意するステップと、パターン形成されたフィーチャ内またはバイア内の誘電体層の表面にめっきシード層を付着させるステップと、パターン形成されたフィーチャ内またはバイア内のめっきシード層の表面に犠牲シード層を付着させるステップと、犠牲シード層の厚さを逆めっき(reverse plating)によって低減させるステップと、パターン形成されたフィーチャ内の犠牲シード層の表面に導電性金属をめっきするステップとを含む。
本開示の他の態様は構造に関し、この構造は、パターン形成されたフィーチャをその内部に有する誘電体層と、パターン形成されたフィーチャ内の誘電体層の表面のめっきシード層と、パターン形成されたフィーチャ内のめっきシード層の表面に位置する不連続な犠牲シード層とを含む。
企図される最良の形態を例示することによって好ましい実施形態だけを示し説明した以下の詳細な説明を読めば、本開示の他の目的および利点が当業者には明白となろう。この開示は他の異なる実施形態を収容することができ、そのいくつか詳細は、この開示から逸脱することなく、明白な様々な点の変更を収容することができることを理解されたい。したがって以下の説明は単に例示を目的としたものあって、限定を意図したものではない。
新規の特徴であると考えられる本開示の諸特徴および本開示を特徴付ける諸要素は、添付の請求項に詳細に記載されている。図面は単に例示を目的にしたものである。しかし本開示は、その構成と機能方法の両方に関して、添付図面に関する以下の詳細な説明を参照することによって最もよく理解されることができる。
本開示の理解を容易にするために図面を参照する。
従来技術の上記の問題および欠陥に留意し、本開示は、メタライゼーション内のボイディング、シームなどの不連続部の発生を低減することを可能にする構造を提供する。
図1に、層間誘電体16、誘電体16のトラフ(trough)の中に位置する障壁層18、および障壁層18の上に位置する導電層17を含む構造を示す。符号10および20はそれぞれ、層間誘電体材料14の中に位置するシングル・ダマシン・エッチング断面およびデュアル・ダマシン・エッチング断面を表す。符号11は、シングル・ダマシン・エッチング断面10内のトレンチを表す。符号12は、デュアル・ダマシン・エッチング断面20内のトレンチを表し、符号13はバイアを表す。層間誘電体16の表面、障壁層18の上および導電層17の一部分の上にはキャッピング層15がある。
一般的な絶縁または誘電体材料14および16は、二酸化シリコン(SiO)、リンケイ酸塩ガラス(PSG)、ホウ素ドープ(boron doped)PSG(BDPSG)またはオルトケイ酸テトラエチル(TEOS)を含み、より一般的には誘電率3.9未満の低k誘電体、例えばSILK(ダウ・ケミカル(DowChemical)社から入手可能)、SiCH(BLOKの商品名でAMAT社から入手可能)、SiCOH(Coralの商品名でNovellus社から、Black Diamondの商品名でAMAT社から、Auoraの商品名でASM社から入手可能)、SiCHN(N Blokの商品名でIBM社から入手可能)、CVD炭素ドープ酸化物、多孔質CVD炭素ドープ酸化物、多孔質および無孔有機ケイ酸塩、多孔質および無孔有機スピンオン・ポリマーを含む。
一般的なキャッピング層の例は、SiCOH、Blok、SiO、NBlok、Siおよびスピンオン・シルセスキオキサンである。キャッピング層の厚さは約10nmから約60nmである。キャッピング層15の機能は、誘電体層14中への相互接続材料17の拡散を防ぐことである。
障壁層18の例は、タンタル、窒化タンタル、チタン、窒化チタン、タングステン、窒化タングステン、ルテニウム、レニウム、コバルト、モリブデン、クロム、イリジウム、白金、シリコン、炭素、ジルコニウム、ニオブ、ロジウム、パラジウム、これらの混合物およびこれらの合金である。上記の金属の合金は、O、S、N、B、Pなどの様々な合金材料を含むことができる。ただし合金材料はこれらに限定されるわけではない。障壁層18はまた、同じ組成の複数の層または異なる組成の複数の層、あるいはその両方を含むことができる。
より一般的な障壁層は、タングステン、チタン、タンタル、これらの窒化物、Ru、およびこれらの合金である。障壁層18は一般に、化学蒸着(CVD)によって、または物理蒸着(PVD)、イオン化物理蒸着(IPVD)などのスパッタリングによって付着される。
障壁層の厚さは一般に約8nmから80nmである。
導電材料は一般に、Cu、Cu合金、Al、Al合金、Ag、Ag合金、Au、Au合金、WまたはW合金であり、より一般的にはCuを含む導電材料(例えばCuおよびCu合金)である。CuおよびCu合金用の一般的なめっき浴は、その開示が参照によって本明細書で組み込まれる米国特許出願公開2004/178078 A1に開示されている。
図2を参照する。この図では、構造の表面に、層間誘電体(ILD)14および導電層17の露出部分を覆う拡散障壁/めっきシード層21が付着されている。拡散障壁/めっきシード層21は一般にルテニウムまたはイリジウムあるいはその両方を含む。層21は複数の層、例えばRuとTaもしくはRuとTaN、またはRuとTaとTaN、IrとTaもしくはIrとTaN、またはIrとTaとTaN、RuとTiSiN、IrとTiSiNなどの複数の層を含むこともできる。
Ru、IrおよびTaNに関して層21は一般にCVDまたはALDによって付着される。とはいえRu、IrおよびTaNの付着についてはPVD付着技術も使用可能である。
層21の厚さは一般に約2nmから80nm、より一般的には約4nmから20nmである。
次に、めっきシード層21の上に、図3に示すような比較的に厚い犠牲シード層31を付着させる。犠牲シード層31は一般にCuまたはCu合金であり、一般にPVDによって付着される。
層31を付着させるのは、層21の酸化を防ぎまたは少なくとも最小限に抑えるためである。犠牲シード層31は、めっきシード層21と同じ真空中で操作されるプラットホームで付着され、そのためこれらの2つの膜の付着と付着の間に空気への暴露は起こらず、付着された層21の表面は酸素を含まない。プラットホームはいくつかの付着チャンバを含み、これらのチャンバとチャンバの間のウェハの輸送は真空で実施され、その間に空気への暴露は生じない。
犠牲シード層31の厚さは一般に約3nmから約100nm、より一般的には約5nmから約50nmである。この層は、下層21を酸化の危険にさらす可能性がある不連続部またはピン・ホールあるいはその両方の可能性を最小限に抑えるため十分に厚い必要がある。
単一のプラットホーム内で層21および31を付着させた後、犠牲層31の厚さ低減プロセス(thickness thinning process)およびパターン形成されたフィーチャ内への導電材料の付着のため、ウェハを別のプラットホームに移す。この厚さ低減プロセスおよび導電材料付着プロセスの間、ウェハはその全体がめっき浴の中に完全に浸される。
図4に示すように、逆めっきプロセスを使用することによって犠牲シード31の厚さを低減させ、薄くされた層41を作成する。この逆めっきプロセスは電気めっき浴中の電流を反転させることを含む。一般的な逆めっきプロセスは、本出願の譲受人であるIBM社(International Business Machines Corporation)に譲渡された、ダッタ(Datta)の米国特許No.5,486,282に記載されている。この厚さ低減プロセスは化学エッチングを含み、一例では第二銅イオンが銅と反応して第一銅イオンを形成する化学エッチングを含む。犠牲シード層31の厚さ低減プロセスと導電層71の付着プロセスは同じめっき浴中で実施され、これらのプロセスの間、ウェハはめっき浴中に完全に浸されるので、その下の層21が空気にさらされることは完全に防止される。
薄くされた犠牲層の厚さは一般に層31の厚さの50%未満、より一般的には層31の厚さの20%未満である。
よりいっそう一般的なプロセスでは、この逆めっきプロセスを継続して図5に示すような不連続な犠牲シード層51を作成する。この不連続な犠牲シード層51の厚さは一般に1nmから約10nmであり、この層はその下の層21の少なくとも約30%を覆う。
所望の厚さの層41が得られたときを決定するため、図6に示すように、この逆めっきプロセスの間、ウェハの抵抗率を監視することができる。
次に、図7に示すように、一般に無電解めっきまたは電気めっきによって、導電性相互接続71をブランケット付着させて、開口11、12および13を埋める。一般的な相互接続材料71は、Cu、CuAlなどのCu合金、Al、AlCuなどのAl合金、Ag、Ag合金、Au、Au合金、WおよびW合金であり、CuおよびCu合金がより一般的である。適当な無電解めっき浴および電気めっき浴は知られており、本明細書で説明する必要はない(とはいえ可能ならば何らかの文献を参照されたい)。一般的な技法は、米国特許出願No. 09/348,632およびアンドリカコス(Andricacos)他の米国特許No. 6,331,237 B1に開示されている。犠牲シード層31の厚さ低減プロセスと導電層71の付着プロセスは同じめっき浴中で実施され、これらのプロセスの間、ウェハはめっき浴中に完全に浸されるので、その下の層21が空気にさらされることは完全に防止される。
次いで、図8に示すように、この構造を化学機械研磨(CMP)などによって平坦化して、過剰な相互接続材料71を除去する。CMP用の一般的なスラリは、アルミナ、シリカ、セリア、ジルコニア、二酸化チタンなどの研磨粒子、および硝酸第二鉄、ヨウ素酸カリウム、硝酸アンモニウムセリウム、フェリシアン化カリウム、硝酸銀、次亜塩素酸ナトリウム、過塩素酸カリウム、過マンガン酸カリウム、過酸化水素などの酸化剤を含む。
以上の記述は本開示を示し説明したものである。さらに、本開示は好ましい実施形態だけを示し説明したものであるが、前述のとおり本開示は、他の様々な組合せ、変更および環境での使用を収容することができ、上記の教示または関連技術の技能もしくは知識あるいはその両方に対応する、本明細書に表現された本発明のコンセプトの範囲内の変更または修正を収容することができる。さらに、本明細書に説明した実施形態は、出願人が知る最良の形態を説明すること、ならびに、このような実施形態または他の実施形態において、およびその特定の応用または使用が要求する様々な修正を加えて、当業者が本開示を利用することができるようにすることを意図したものである。したがって以上の記述は、本明細書に開示された形態に本発明を限定することを意図したものではない。または、添付の請求項は代替の実施形態を含むものと解釈されたい。
本明細書に引用された出版物および特許出願はすべて、あたかもこれらが参照によって本明細書に組み込まれると個別かつ明確に示されたかのように、あらゆる目的のため参照によって本明細書に組み込まれる。
本開示の製造プロセスの一段階における構造の概略断面図である。 本開示の製造プロセスの一段階における構造の概略断面図である。 本開示の製造プロセスの一段階における構造の概略断面図である。 本開示の製造プロセスの一段階における構造の概略断面図である。 本開示の製造プロセスの一段階における構造の概略断面図である。 抵抗率のin−situ監視を示すグラフである。 本開示の製造プロセスの一段階における構造の概略断面図である。 本開示の製造プロセスの一段階における構造の概略断面図である。 将来のノードにおけるCDの低下に起因するボイドを示す概略断面図である。
符号の説明
10 シングル・ダマシン・エッチング断面
11 トレンチ
12 トレンチ
13 バイア
14 層間誘電体
15 キャッピング層
16 層間誘電体
17 導電層
18 障壁層
20 デュアル・ダマシン・エッチング断面
21 めっきシード層
31 犠牲シード層
41 薄くされた層
51 不連続な犠牲シード層
71 導電層

Claims (19)

  1. 導体−誘電体相互接続構造を製造するための方法であって、パターン形成されたフィーチャをその内部に有する誘電体層を含む構造を用意するステップと、前記パターン形成されたフィーチャ内の前記誘電体層の表面にめっきシード層を付着するステップと、前記パターン形成されたフィーチャ内の前記めっきシード層の表面に犠牲シード層を付着するステップと、前記犠牲シード層の厚さを逆めっきによって低減させるステップと、前記パターン形成されたフィーチャ内の前記犠牲シード層の表面に導電材料を付着するステップとを含む方法。
  2. 前記構造が、シングル・ダマシン構造またはデュアル・ダマシン構造あるいはその両方を含む、請求項1に記載の方法。
  3. 前記めっきシード層がRuまたはIrあるいはその両方を含む、請求項1に記載の方法。
  4. 前記犠牲シード層がCuまたはCu合金を含む、請求項1に記載の方法。
  5. 前記めっきシード層が、RuとTaもしくはRuとTaN、またはRuとTaとTaN、あるいはIrとTaもしくはIrとTaN、またはIrとTaとTaN、あるいはRuとTiSiN、あるいはIrとTiSiNを含む、請求項1に記載の方法。
  6. 前記犠牲シード層の厚さが約3nmから約100nmである、請求項1に記載の方法。
  7. 前記犠牲シード層の厚さを、元の厚さの少なくとも50%まで低減させる、請求項1に記載の方法。
  8. 前記犠牲シード層の厚さを低減させて不連続な犠牲シード層を形成する、請求項1に記載の方法。
  9. 前記導電材料がCu、Al、Ag、Au、Wおよびこれらの合金からなるグループから選択される、請求項1に記載の方法。
  10. 前記導電材料がCuまたはCu合金を含む、請求項1に記載の方法。
  11. 前記導電材料を平坦化するステップをさらに含む、請求項1に記載の方法。
  12. 前記めっきシード層が、化学蒸着(CVD)または原子層付着(ALD)技法によって付着される、請求項1に記載の方法。
  13. 前記犠牲シード層が、物理蒸着(PVD)、化学蒸着(CVD)または原子層付着(ALD)技法によって付着される、請求項1に記載の方法。
  14. 前記犠牲シード層が、前記めっきシードを付着させたプラットホームと同じプラットホームで付着される、請求項13に記載の方法。
  15. 前記導電材料は、無電解めっきまたは電気めっきによって、前記犠牲シード層の厚さを低減させるめっき浴と同じめっき浴中で、付着される、請求項1に記載の方法。
  16. パターン形成されたフィーチャをその内部に有する誘電体層と、前記パターン形成されたフィーチャ内の前記誘電体層の表面のめっきシード層と、前記パターン形成されたフィーチャ内の前記めっきシード層の表面に位置する不連続な犠牲シード層とを含む構造。
  17. 前記めっきシード層がRuまたはIrあるいはその両方を含む、請求項16に記載の構造。
  18. 前記犠牲シード層がCuまたはCu合金を含む、請求項16に記載の構造。
  19. 前記犠牲シード層の表面の導電性相互接続をさらに含む、請求項16に記載の構造。
JP2006310984A 2005-11-23 2006-11-17 導体−誘電体相互接続構造を製造するための方法 Expired - Fee Related JP5255198B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/286093 2005-11-23
US11/286,093 US20070117377A1 (en) 2005-11-23 2005-11-23 Conductor-dielectric structure and method for fabricating

Publications (2)

Publication Number Publication Date
JP2007150298A true JP2007150298A (ja) 2007-06-14
JP5255198B2 JP5255198B2 (ja) 2013-08-07

Family

ID=38054117

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006310984A Expired - Fee Related JP5255198B2 (ja) 2005-11-23 2006-11-17 導体−誘電体相互接続構造を製造するための方法

Country Status (3)

Country Link
US (2) US20070117377A1 (ja)
JP (1) JP5255198B2 (ja)
CN (1) CN100481384C (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009078254A1 (ja) * 2007-12-17 2009-06-25 Nippon Mining & Metals Co., Ltd. 基板、及びその製造方法
WO2009078255A1 (ja) * 2007-12-17 2009-06-25 Nippon Mining & Metals Co., Ltd. 基板、及びその製造方法
JP2010171398A (ja) * 2008-12-26 2010-08-05 Toshiba Corp 半導体装置の製造方法
JP2011510517A (ja) * 2008-01-22 2011-03-31 東京エレクトロン株式会社 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7402883B2 (en) * 2006-04-25 2008-07-22 International Business Machines Corporation, Inc. Back end of the line structures with liner and noble metal layer
CN101911257B (zh) * 2008-01-23 2012-03-07 日矿金属株式会社 在阻挡层上具有钌电镀层的ulsi微细配线构件
US7745324B1 (en) 2009-01-09 2010-06-29 International Business Machines Corporation Interconnect with recessed dielectric adjacent a noble metal cap
US8399350B2 (en) * 2010-02-05 2013-03-19 International Business Machines Corporation Formation of air gap with protection of metal lines
TW201230245A (en) * 2011-01-14 2012-07-16 Nat Applied Res Laboratories Method for synchronously forming diffusion barrier layer and electroplating seed layer of silver interconnects
US10490448B2 (en) * 2017-12-29 2019-11-26 Texas Instruments Incorporated Method of using a sacrificial conductive stack to prevent corrosion
US11096271B1 (en) * 2020-04-09 2021-08-17 Raytheon Company Double-sided, high-density network fabrication

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10229084A (ja) * 1997-02-14 1998-08-25 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の配線構造およびその製造方法
JP2001148383A (ja) * 1999-11-18 2001-05-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2001185553A (ja) * 1999-08-30 2001-07-06 Applied Materials Inc 電気めっき充填を改善する方法
JP2002075994A (ja) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2002515645A (ja) * 1998-05-12 2002-05-28 セミトゥール・インコーポレイテッド 被加工片に1つ以上の金属化レベルを形成するのに使用するための方法及び製造ツール構造体
WO2004095510A2 (en) * 2003-04-17 2004-11-04 International Business Machines Corporation Multilayered cap barrier in microelectronic, interconnect structures
JP2005213610A (ja) * 2004-01-30 2005-08-11 Ebara Corp めっき装置及びめっき方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5567300A (en) * 1994-09-02 1996-10-22 Ibm Corporation Electrochemical metal removal technique for planarization of surfaces
US6171467B1 (en) * 1997-11-25 2001-01-09 The John Hopkins University Electrochemical-control of abrasive polishing and machining rates
US6709565B2 (en) * 1998-10-26 2004-03-23 Novellus Systems, Inc. Method and apparatus for uniform electropolishing of damascene ic structures by selective agitation
KR100289739B1 (ko) * 1999-04-21 2001-05-15 윤종용 전기 도금 방법을 이용한 샐프얼라인 스택 커패시터의 제조방법
US6495443B1 (en) * 2001-06-05 2002-12-17 Advanced Micro Devices, Inc. Method of re-working copper damascene wafers
US6848977B1 (en) * 2003-08-29 2005-02-01 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Polishing pad for electrochemical mechanical polishing
US7189292B2 (en) * 2003-10-31 2007-03-13 International Business Machines Corporation Self-encapsulated silver alloys for interconnects
TWI253714B (en) * 2004-12-21 2006-04-21 Phoenix Prec Technology Corp Method for fabricating a multi-layer circuit board with fine pitch

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10229084A (ja) * 1997-02-14 1998-08-25 Nippon Telegr & Teleph Corp <Ntt> 半導体装置の配線構造およびその製造方法
JP2002515645A (ja) * 1998-05-12 2002-05-28 セミトゥール・インコーポレイテッド 被加工片に1つ以上の金属化レベルを形成するのに使用するための方法及び製造ツール構造体
JP2001185553A (ja) * 1999-08-30 2001-07-06 Applied Materials Inc 電気めっき充填を改善する方法
JP2001148383A (ja) * 1999-11-18 2001-05-29 Hitachi Ltd 半導体集積回路装置およびその製造方法
JP2002075994A (ja) * 2000-08-24 2002-03-15 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
WO2004095510A2 (en) * 2003-04-17 2004-11-04 International Business Machines Corporation Multilayered cap barrier in microelectronic, interconnect structures
JP2005213610A (ja) * 2004-01-30 2005-08-11 Ebara Corp めっき装置及びめっき方法

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2009078254A1 (ja) * 2007-12-17 2009-06-25 Nippon Mining & Metals Co., Ltd. 基板、及びその製造方法
WO2009078255A1 (ja) * 2007-12-17 2009-06-25 Nippon Mining & Metals Co., Ltd. 基板、及びその製造方法
US8247301B2 (en) 2007-12-17 2012-08-21 Nippon Mining & Metals Co., Ltd. Substrate and manufacturing method therefor
KR101186702B1 (ko) * 2007-12-17 2012-09-27 닛코킨조쿠 가부시키가이샤 기판, 및 그 제조방법
KR101186714B1 (ko) 2007-12-17 2012-09-27 닛코킨조쿠 가부시키가이샤 기판, 및 그 제조방법
JP5268159B2 (ja) * 2007-12-17 2013-08-21 Jx日鉱日石金属株式会社 基板、及びその製造方法
JP5268160B2 (ja) * 2007-12-17 2013-08-21 Jx日鉱日石金属株式会社 基板、及びその製造方法
US8736057B2 (en) 2007-12-17 2014-05-27 Nippon Mining & Metals Co., Ltd. Substrate and manufacturing method therefor
JP2011510517A (ja) * 2008-01-22 2011-03-31 東京エレクトロン株式会社 半導体デバイスのCuメタライゼーションへ選択的低温Ru堆積を統合する方法
JP2010171398A (ja) * 2008-12-26 2010-08-05 Toshiba Corp 半導体装置の製造方法
US8110497B2 (en) 2008-12-26 2012-02-07 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device

Also Published As

Publication number Publication date
US7960276B2 (en) 2011-06-14
CN100481384C (zh) 2009-04-22
CN1971876A (zh) 2007-05-30
US20080284019A1 (en) 2008-11-20
JP5255198B2 (ja) 2013-08-07
US20070117377A1 (en) 2007-05-24

Similar Documents

Publication Publication Date Title
JP5255198B2 (ja) 導体−誘電体相互接続構造を製造するための方法
US7304388B2 (en) Method and apparatus for an improved air gap interconnect structure
US8035230B2 (en) Semiconductor device and method for manufacturing same
US8222146B2 (en) Semiconductor device with a line and method of fabrication thereof
JP4049978B2 (ja) メッキを用いた金属配線形成方法
US20080128907A1 (en) Semiconductor structure with liner
US7199045B2 (en) Metal-filled openings for submicron devices and methods of manufacture thereof
TWI228794B (en) Method of selectively making copper using plating technology
US7879720B2 (en) Methods of forming electrical interconnects using electroless plating techniques that inhibit void formation
US7799681B2 (en) Method for forming a ruthenium metal cap layer
US20070093060A1 (en) Semiconductor device having a cu interconnection
JP2004031586A (ja) 半導体装置の製造方法
US20120168949A1 (en) Semiconductor device with a line and method of fabrication thereof
US6893955B1 (en) Manufacturing seedless barrier layers in integrated circuits
US7666782B2 (en) Wire structure and forming method of the same
US20070023868A1 (en) Method of forming copper metal line and semiconductor device including the same
JP2000156406A (ja) 半導体装置およびその製造方法
JPH11283979A (ja) 半導体装置の製造方法
JPH11340226A (ja) 半導体装置の製造方法
KR100421913B1 (ko) 반도체 소자의 금속 배선 형성방법
US11101172B2 (en) Dielectric damage-free dual damascene Cu interconnects without barrier at via bottom
JP2003243393A (ja) 半導体装置及びその製造方法
KR100451766B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100720400B1 (ko) 반도체 소자의 금속 배선 형성방법
JP2001274159A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090821

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20120808

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120821

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20121015

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121018

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20121015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130305

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130402

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20130402

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130419

R150 Certificate of patent or registration of utility model

Ref document number: 5255198

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160426

Year of fee payment: 3

LAPS Cancellation because of no payment of annual fees