JP2006279009A - 半導体素子の製造方法 - Google Patents

半導体素子の製造方法 Download PDF

Info

Publication number
JP2006279009A
JP2006279009A JP2005198618A JP2005198618A JP2006279009A JP 2006279009 A JP2006279009 A JP 2006279009A JP 2005198618 A JP2005198618 A JP 2005198618A JP 2005198618 A JP2005198618 A JP 2005198618A JP 2006279009 A JP2006279009 A JP 2006279009A
Authority
JP
Japan
Prior art keywords
epitaxial layer
region
manufacturing
forming
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005198618A
Other languages
English (en)
Inventor
Sang Don Lee
相敦 李
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of JP2006279009A publication Critical patent/JP2006279009A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • EFIXED CONSTRUCTIONS
    • E01CONSTRUCTION OF ROADS, RAILWAYS, OR BRIDGES
    • E01HSTREET CLEANING; CLEANING OF PERMANENT WAYS; CLEANING BEACHES; DISPERSING OR PREVENTING FOG IN GENERAL CLEANING STREET OR RAILWAY FURNITURE OR TUNNEL WALLS
    • E01H5/00Removing snow or ice from roads or like surfaces; Grading or roughening snow or ice
    • E01H5/04Apparatus propelled by animal or engine power; Apparatus propelled by hand with driven dislodging or conveying levelling elements, conveying pneumatically for the dislodged material
    • E01H5/06Apparatus propelled by animal or engine power; Apparatus propelled by hand with driven dislodging or conveying levelling elements, conveying pneumatically for the dislodged material dislodging essentially by non-driven elements, e.g. scraper blades, snow-plough blades, scoop blades
    • E01H5/065Apparatus propelled by animal or engine power; Apparatus propelled by hand with driven dislodging or conveying levelling elements, conveying pneumatically for the dislodged material dislodging essentially by non-driven elements, e.g. scraper blades, snow-plough blades, scoop blades characterised by the form of the snow-plough blade, e.g. flexible, or by snow-plough blade accessories
    • E01H5/066Snow-plough blade accessories, e.g. deflector plates, skid shoes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/09Manufacture or treatment with simultaneous manufacture of the peripheral circuit region and memory cells
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Abstract

【課題】段差のあるSiエピタキシャル層の上部にゲートを形成してゲートチャンネルの有効長さを増加させ、ビットラインコンタクトの下部のSiエピタキシャル層と半導体基板の界面にのみ酸化膜を形成することにより、格納電極接合の漏洩電流の特性を改善する。
【解決手段】
本発明は半導体素子の製造方法に関し、特に段差のあるSiエピタキシャル層の上部にゲートを形成してゲートチャンネルの有効長さを増加させ、ビットラインコンタクトの下部のSiエピタキシャル層と半導体基板の界面のみに酸化膜を形成することにより、格納電極接合の漏洩電流の特性を改善することができる技術である。
【選択図】図4f

Description

本発明は半導体素子の製造方法に関し、特にショートチャンネル効果(Short-Channel Effect)と格納電極接合漏洩電流を改善するため、段差のあるSiエピタキシャル層の上部にゲートを形成してゲートチャンネルの有効長さを増加させ、ビットラインコンタクトの下部のSiエピタキシャル層と半導体基板の界面にのみ酸化膜を形成することにより、格納電極接合の漏洩電流の特性を改善することができる半導体素子の製造方法に関するものである。
図1は、従来の技術に係るセル領域1000aの活性領域1、第1のゲート領域2及び第2のゲート領域3を示した半導体素子のレイアウトである。
図2a〜図2fは、従来の技術に係る半導体素子の製造方法を示した断面図等である。図2a(i)〜図2f(i)は図1のI−I’に沿う断面を示した図である。
図2aに示されているように、セル領域1000aとコア/周辺回路領域1000bを備えた半導体基板10の上部にSiGeエピタキシャル層(図示省略)、第1のSiエピタキシャル層(図示省略)、第1の酸化膜(図示省略)及び第1の窒化膜(図示省略)の積層構造を形成する。
次に、セル領域1000a及びコア/周辺回路領域1000bの第1の窒化膜(図示省略)の上部に感光膜(図示省略)を塗布する。
そのあと、前記感光膜(図示省略)を露光及び現像して図1の第1のゲート領域2を露出し、コア/周辺回路領域1000bの全体表面を覆う第1の感光膜パターン(図示省略)を形成する。
その次に、第1の感光膜パターン(図示省略)をエッチングマスクとして前記積層構造をエッチングして第1のゲート領域2の半導体基板10とコア/周辺回路領域1000bの全体表面を露出する。
以後、第1の感光膜パターン(図示省略)を取り除く。
図2bに示されているように、セル領域1000aの第1の窒化膜パターン19及び第1の酸化膜パターン17を湿式方式で取り除く。
次に、セル領域1000a及びコア/周辺回路領域1000bの全体表面の上部に第2のSiエピタキシャル層25を形成する。
図2cに示されているように、セル領域1000a及びコア/周辺回路領域1000bの第2のSiエピタキシャル層25の上部に第2の酸化膜30及び第2の窒化膜35を形成する。
次に、第2の窒化膜35の全体表面の上部に感光膜(図示省略)を塗布し、これを露光及び現像して図1の活性領域1を定義してコア/周辺回路領域1000bの活性領域を定義する第2の感光膜パターン(図示省略)を形成する。
そのあと、第2の感光膜パターン(図示省略)をエッチングマスクとして第2の窒化膜35、第2の酸化膜30、第2のSiエピタキシャル層25、第1のSiエピタキシャル層パターン15、SiGeエピタキシャル層パターン13、及び所定の厚さの半導体基板10をエッチングしてセル領域1000a及びコア/周辺回路領域1000bに素子分離用トレンチ40を形成する。
そのあと、第2の感光膜パターン(図示省略)を取り除いたあと、トレンチ40の側壁を介した湿式エッチング法でセル領域1000aのSiGeエピタキシャル層パターン13を取り除き、SiGeエピタキシャル層パターン13が取り除かれたアンダーカット形態の領域27を形成する。
図2dに示されているように、セル領域1000aのトレンチ40とSiGeエピタキシャル層パターン13が取り除かれたアンダーカット形態の領域27を埋め込み、コア/周辺回路領域1000bのトレンチ40を埋め込むギャップフィル絶縁膜45を全体表面の上部に形成する。
次に、第2の窒化膜35が露出するまでギャップフィル酸化膜45を平坦化する。このとき、ギャップフィル絶縁膜45は素子分離膜に用いられる。
以後、トレンチ40で所定厚さのギャップフィル絶縁膜45をエッチングしたあと湿式エッチング法で第2の窒化膜35を取り除く。
そのあと、不純物の濃度を調節するためセル領域1000a及びコア/周辺回路領域1000bそれぞれにウェル及びチャンネル不純物注入工程を行なう。
図2eに示されているように、セル領域1000a及びコア/周辺回路領域1000bの第2の酸化膜30を湿式エッチング法で取り除き、第2のSiエピタキシャル層25を露出したあと露出した第2のSiエピタキシャル層25の上部にゲート酸化膜50を形成する。
次に、セル領域1000a及びコア/周辺回路領域1000bの全体表面の上部にゲート導電層60、70及びハードマスク絶縁膜80を形成する。
図2fに示されているように、セル領域1000a及びコア/周辺回路領域1000bのハードマスク絶縁膜80の上部に感光膜(図示省略)を塗布する。
以後、前記感光膜(図示省略)を露光及び現像して図1の第2のゲート領域3を定義し、コア/周辺回路領域1000bのゲート領域(図示省略)を定義する第3の感光膜パターン(図示省略)を形成する。特に、第3の感光膜パターンはセル領域1000aのビットラインコンタクト領域と格納電極コンタクト領域を露出し、コア/周辺回路領域1000bのゲート予定領域を覆うように形成する。
次に、第3の感光膜パターン(図示省略)をエッチングマスクとしてハードマスク絶縁膜80及びゲート導電層70、60をエッチングし、セル領域1000a及びコア/周辺回路領域1000bそれぞれにゲート90を形成する。
しかし、前述の従来の技術に係る半導体素子の製造方法では平面第2のSiエピタキシャル層にゲートチャンネル領域を形成するためデザインルール(Design Rule)が減少するに伴い、各ビットラインコンタクト領域及び格納電極コンタクト領域の側面拡散でゲートチャンネルの長さが減少するという問題点がある。
さらに、前述の従来の技術に係る半導体素子の製造方法では活性領域の両側端に形成される格納電極コンタクト領域の下部のSiエピタキシャル層と半導体基板の界面に酸化膜を形成することにより、シリコンと酸化膜との間の界面特性により格納電極接合の漏洩電流の特性が大きく左右されるという欠点がある。
そして、格納電極コンタクト領域下部の酸化膜を素子分離膜と共に形成することにより、以前の熱処理工程によるSiGeエピタキシャル層にあったゲルマニウム(Ge)成分が第1のSiエピタキシャル層、第2のSiエピタキシャル層及び半導体基板に拡散されて格納電極接合の漏洩電流が増加するという欠点がある。
「PICATを用いた増強されたデータの保持時間を有する80nm 512M DRAM("80nm 512M DRAM with Enhanced Data Retention Time Using Partially Insulated Cell Array Transistor(PICAT)")」、2004 Symposium on VLSI technology Digest of Technical Papers、30〜31頁
本発明は前記のような問題点を解決するため、段差のあるSiエピタキシャル層の上部にゲートを形成してゲートチャンネルの有効長さを増加させ、ビットラインコンタクトの下部のSiエピタキシャル層と半導体基板の界面にのみ酸化膜を形成することにより、格納電極接合の漏洩電流の特性を改善することができる半導体素子の製造方法を提供することに目的がある。
本発明に係る半導体素子の製造方法は
(a)半導体基板の上部にSiGeエピタキシャル層、第1のSiエピタキシャル層及び絶縁膜を形成する段階と、
(b)格納電極コンタクト予定領域とこれと隣接した領域の絶縁膜、Siエピタキシャル層及びSiGeエピタキシャル層をエッチングして前記半導体基板を露出する段階と、
(c)前記絶縁膜を取り除く段階と、
(d)前記露出した半導体基板を含む全体表面の上部に第2のSiエピタキシャル層を形成する段階と、
(e)素子分離領域に予定されている部分の前記半導体基板をエッチングしてトレンチを形成する段階と、
(f)前記トレンチの側壁を介し前記SiGeエピタキシャル層を取り除く段階と、
(g)前記SiGeエピタキシャル層が取り除かれた領域及び前記トレンチを埋め込む素子分離用絶縁膜を形成する段階と、
(h)前記第2のSiエピタキシャル層の上部にゲート酸化膜を形成する段階と、
(i)全体表面の上部にゲート導電層及びハードマスク層を順次積層しパターニングしてゲートを形成する段階とを含むことを特徴とする。
本発明に係る半導体素子の製造方法は、格納電極コンタクト領域とこれと接した所定の広さの領域を含むコンタクト領域を露出し、ビットラインコンタクトの下部にのみSiエピタキシャル層と半導体基板の界面に酸化膜を形成することによりビットラインコンタクトキャパシタンスが減少し、セルトランジスタのショートチャンネル効果を低減させることができる。
さらに、ゲートチャンネル領域を平面でない傾斜面に形成することにより有効チャンネルの長さを増加させることができ、格納電極コンタクト領域の下部をSiエピタキシャル層にのみ形成することにより接合漏洩電流を小さくすることができるのでDRAMリフレッシュ特性を改善することができる。
以下では、本発明の実施の形態を図を参照して詳しく説明する。
図3は、本発明の実施の形態に係るセル領域2000aの活性領域101、コンタクト領域102及びゲート領域103を示した半導体素子のレイアウトである。
図4a〜図4fは、本発明に係る半導体素子の製造方法を示した断面図等である。図4a(i)〜図4f(i)は図3のII−II’に沿う断面を示した図である。
図4aに示されているように、セル領域2000aとコア/周辺回路領域2000bを備えた半導体基板110の上部にSiGeエピタキシャル層(図示省略)、第1のSiエピタキシャル層(図示省略)、絶縁膜(図示省略)の積層構造を形成する。ここで、絶縁膜(図示省略)は酸化膜、又は酸化膜と窒化膜の二重膜のうちいずれか一つであるのが好ましい。
次に、セル領域2000a及びコア/周辺回路領域2000bの絶縁膜(図示省略)の上部に感光膜(図示省略)を塗布する。
以後、前記感光膜(図示省略)を露光及び現像し図3のコンタクト領域102を露出し、コア/周辺回路領域2000bの全体の領域を覆う第1の感光膜パターン(図示省略)を形成する。
ここで、コンタクト領域102は格納電極コンタクト領域とこれと両側に隣接する所定線幅(M)のゲート領域を含む。さらに、前記所定線幅(M)は1/3F〜Fであり、Fはゲート線幅であるのが好ましい。
その次に、第1の感光膜パターン(図示省略)をエッチングマスクとして前記積層構造をエッチングしてコンタクト領域102の半導体基板110を露出し、コア/周辺回路領域2000bを露出する。
以後、第1の感光膜パターン(図示省略)を取り除く。
図4bに示されているように、セル領域2000aの絶縁膜パターン120を取り除く。ここで、絶縁膜パターン120の除去工程は湿式エッチング法で行なわれるのが好ましい。
次に、全体表面の上部に第2のSiエピタキシャル層125を形成する。ここで、第2のSiエピタキシャル層125は10〜100nmの厚さに形成するのが好ましい。
一方、セル領域2000aでは露出した半導体基板110の幅が広いため第2のSiエピタキシャル層125に図4bのような屈曲が生じることがある。
図4cに示されているように、セル領域2000a及びコア/周辺回路領域2000bの第2のSiエピタキシャル層125の上部に第2の酸化膜130及び第2の窒化膜135を順次形成する。
次に、第2の窒化膜135の上部に感光膜(図示省略)を塗布し、これを露光及び現像して図3の活性領域101を定義し、コア/周辺回路領域2000bの活性領域(図示省略)を定義する第2の感光膜パターン(図示省略)を形成する。
以後、第2の感光膜パターン(図示省略)をエッチングマスクとして第2の窒化膜135、第2の酸化膜130、第2のSiエピタキシャル層125、第1のSiエピタキシャル層パターン115、SiGeエピタキシャル層パターン113、及び所定の厚さの半導体基板110を
エッチングし、セル領域2000a及びコア/周辺回路領域2000bそれぞれに素子分離用トレンチを形成する。
その次に、セル領域2000aでは第2の感光膜パターン(図示省略)を取り除いたあと、素子分離用トレンチ140の側壁を介し、SiGeエピタキシャル層パターン113をエッチングしてSiGeエピタキシャル層パターン113が取り除かれたアンダーカット形態の領域127を形成する。
図5は図3のIII−III’に沿って図4c(i)の構造を示す断面を示した図である。これはSiGeエピタキシャル層パターン113が取り除かれたアンダーカット形態の領域127を示している。
ここで、SiGeエピタキシャル層パターン113の除去工程はHF:H:CHCOOHの混合溶液を利用した湿式エッチング法や、(CF又はCH)/N/Oの混合ガスを利用したプラズマエッチング法又はこれらの組み合せで行なうのが好ましく、前記混合溶液でHF:H:CHCOOHの体積比は1:2:3であるのがさらに好ましい。
図4dに示されているように、最小のセル領域2000aの素子分離用トレンチ140とSiGeエピタキシャル層パターン113が取り除かれたアンダーカット形態の領域127を埋め込み、コア/周辺回路領域2000bの素子分離用トレンチ140を埋め込むギャップフィル絶縁膜145を全体表面の上部に形成する。
このとき、ギャップフィル絶縁膜145の形成工程はSiGeエピタキシャル層パターン113が取り除かれたアンダーカット形態の領域127を埋め込む熱酸化膜(図示省略)を成長させ、素子分離用トレンチ140を埋め込む素子分離用酸化膜(図示省略)を形成して行なうことができる。そして、前記素子分離用酸化膜(図示省略)と素子分離用トレンチ140の界面に窒化膜(図示省略)を追加して形成することができる。
さらに、ギャップフィル絶縁膜145の形成工程はSiGeエピタキシャル層113が取り除かれたアンダーカット形態の領域127を部分的に埋め込む熱酸化膜(図示省略)を成長させ、残りのアンダーカット形態の領域127を埋め込む窒化膜(図示省略)を形成し、素子分離用トレンチ140を埋め込む素子分離用酸化膜(図示省略)を形成して行なうことができる。
次に、第2の窒化膜135が露出するまでギャップフィル絶縁膜145を平坦化する。ここで、素子分離用トレンチ140のギャップフィル絶縁膜145は素子分離膜に利用される。
以後、素子分離用トレンチ140で所定厚さのギャップフィル絶縁膜145をエッチングしたあと第2の窒化膜135を取り除く。ここで、ギャップフィル絶縁膜145のエッチング工程は湿式エッチング法で行なわれるのが好ましく、第2の窒化膜135の除去工程も湿式エッチング法で行なわれるのが好ましい。
その次に、不純物の濃度を調節するためセル領域2000a及びコア/周辺回路領域2000bそれぞれにウェル及びチャンネル不純物注入工程を行なう。
図4eに示されているように、第2の酸化膜130を取り除いて第2のSiエピタキシャル層125を露出したあと、露出された第2のSiエピタキシャル層125の上部にゲート酸化膜150を形成する。ここで、第2の酸化膜130の除去工程は湿式エッチング法で行なわれるのが好ましい。
次に、全体表面の上部にゲート導電層175及びハードマスク層180の積層構造を形成する。ここで、ゲート導電層175は下部導電層160と上部導電層170を含むのが好ましい。
図4fに示されているように、ハードマスク層180の上部に感光膜(図示省略)を塗布する。
以後、前記感光膜(図示省略)を露光及び現像して図3のゲート領域103を定義し、コア/周辺回路領域2000bのゲート領域を定義する第3の感光膜パターン(図示省略)を形成する。特に、第3の感光膜パターンはセル領域2000aのビットラインコンタクト領域と格納電極コンタクト領域を露出し、コア/周辺回路領域2000bのゲート予定領域を覆うように形成する。
次に、第3の感光膜パターン(図示省略)をエッチングマスクとして前記積層構造をエッチングしてセル領域2000a及びコア/周辺回路領域2000bそれぞれにゲート190を形成する。
以後の工程は、従来の技術のように活性領域にソース/ドレーン領域の形成のための不純物イオン注入工程、ゲート190の側壁に側壁スペーサを形成する工程、ランディングプラグポリ形成工程、ビットラインコンタクト及びビットライン形成工程、キャパシタ形成工程及び金属配線形成工程を追加して完成する。
なお、本発明について、好ましい実施の形態を基に説明したが、これらの実施の形態は、例を示すことを目的として開示したものであり、当業者であれば、本発明に係る技術思想の範囲内で、多様な改良、変更、付加等が可能である。このような改良、変更等も、特許請求の範囲に記載した本発明の技術的範囲に属することは言うまでもない。
従来の技術に係る半導体素子のレイアウトである。 従来の技術に係る半導体素子の製造方法を示した断面図である。 従来の技術に係る半導体素子の製造方法を示した断面図である。 従来の技術に係る半導体素子の製造方法を示した断面図である。 従来の技術に係る半導体素子の製造方法を示した断面図である。 従来の技術に係る半導体素子の製造方法を示した断面図である。 従来の技術に係る半導体素子の製造方法を示した断面図である。 本発明の実施の形態に係る半導体素子のレイアウトである。 本発明の実施の形態に係る半導体素子の製造方法を示した断面図である。 本発明の実施の形態に係る半導体素子の製造方法を示した断面図である。 本発明の実施の形態に係る半導体素子の製造方法を示した断面図である。 本発明の実施の形態に係る半導体素子の製造方法を示した断面図である。 本発明の実施の形態に係る半導体素子の製造方法を示した断面図である。 本発明の実施の形態に係る半導体素子の製造方法を示した断面図である。 本発明の実施の形態に係る半導体素子の製造方法を示した断面図である。
符号の説明
101 活性領域
102 コンタクト領域
103 ゲート領域
110 半導体基板
113 SiGeエピタキシャル層パターン
115 Siエピタキシャル層パターン
120 絶縁膜パターン
125 Siエピタキシャル層
127 アンダーカット形態の領域
130 酸化膜
135 窒化膜
140 素子分離用トレンチ
145 ギャップフィル絶縁膜
150 ゲート酸化膜
160 下部導電層
170 上部導電層
175 ゲート導電層
180 ハードマスク層
190 ゲート
2000a セル領域
2000b コア/周辺回路領域

Claims (12)

  1. (a)半導体基板の上部にSiGeエピタキシャル層、第1のSiエピタキシャル層及び絶縁膜を形成する段階と、
    (b)格納電極コンタクト予定領域とこれに隣接した領域の絶縁膜、Siエピタキシャル層及びSiGeエピタキシャル層をエッチングして前記半導体基板を露出する段階と、
    (c)前記絶縁膜を取り除く段階と、
    (d)前記露出した半導体基板を含む全体表面の上部に第2のSiエピタキシャル層を形成する段階と、
    (e)素子分離領域に予定されている部分の前記半導体基板をエッチングしてトレンチを形成する段階と、
    (f)前記トレンチの側壁を介し前記SiGeエピタキシャル層を取り除く段階と、
    (g)前記SiGeエピタキシャル層が取り除かれた領域及び前記トレンチを埋め込む素子分離用絶縁膜を形成する段階と、
    (h)前記第2のSiエピタキシャル層の上部にゲート酸化膜を形成する段階と、
    (i)全体表面の上部にゲート導電層及びハードマスク層を順次積層しパターニングしてゲートを形成する段階とを含むことを特徴とする半導体素子の製造方法。
  2. 前記(b)段階は全体表面の上部に感光膜を形成する段階と、
    前記格納電極コンタクト領域とその両側に隣接する所定線幅(M)のゲート予定領域を露出させる感光膜パターンを形成する段階と、
    前記感光膜パターンをマスクとして前記絶縁膜、前記Siエピタキシャル層及び前記SiGeエピタキシャル層をエッチングする段階とを含むことを特徴とする請求項1に記載の半導体素子の製造方法。
  3. 前記所定線幅(M)は1/3F〜Fであり、Fはゲート線幅であることを特徴とする請求項2に記載の半導体素子の製造方法。
  4. 前記絶縁膜は酸化膜であることを特徴とする請求項1に記載の半導体素子の製造方法。
  5. 前記絶縁膜は酸化膜と窒化膜を含むことを特徴とする請求項1に記載の半導体素子の製造方法。
  6. 前記(c)段階の前記絶縁膜を取り除く工程は、湿式エッチング法で行なわれることを特徴とする請求項1に記載の半導体素子の製造方法。
  7. 前記第2のSiエピタキシャル層は10〜100nmの厚さに成長させることを特徴する請求項1に記載の半導体素子の製造方法。
  8. 前記(f)段階のSiGeエピタキシャル層除去の工程は、HF:H:CHCOOHの混合溶液を利用した湿式エッチング法や(CH又はCH)/N/Oの混合ガスを利用したプラズマエッチング法で行なわれることを特徴とする請求項1に記載の半導体素子の製造方法。
  9. 前記HF:H:CHCOOH溶液の体積比は1:2:3であることを特徴とする請求項8に記載の半導体素子の製造方法。
  10. 前記(g)段階は
    前記SiGeエピタキシャル層が取り除かれた前記領域を埋め込む熱酸化膜を成長させる段階と、
    前記トレンチを埋め込む素子分離用酸化膜を形成する段階とを含むことを特徴とする請求項1に記載の半導体素子の製造方法。
  11. 前記(g)段階は前記トレンチの表面に窒化膜を形成する段階をさらに含むことを特徴とする請求項10に記載の半導体素子の製造方法。
  12. 前記(g)段階は
    前記SiGeエピタキシャル層が取り除かれた領域を部分的に埋め込む熱酸化膜を成長させる段階と、
    前記領域の残りの部分を埋め込む窒化膜を形成する段階と、
    前記トレンチを埋め込む素子分離用酸化膜を形成する段階とを含むことを特徴とする請求項1に記載の半導体素子の製造方法。
JP2005198618A 2005-03-25 2005-07-07 半導体素子の製造方法 Pending JP2006279009A (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050024932A KR100610465B1 (ko) 2005-03-25 2005-03-25 반도체 소자의 제조 방법

Publications (1)

Publication Number Publication Date
JP2006279009A true JP2006279009A (ja) 2006-10-12

Family

ID=37035743

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005198618A Pending JP2006279009A (ja) 2005-03-25 2005-07-07 半導体素子の製造方法

Country Status (4)

Country Link
US (3) US20060216878A1 (ja)
JP (1) JP2006279009A (ja)
KR (1) KR100610465B1 (ja)
TW (1) TWI267921B (ja)

Families Citing this family (129)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100610465B1 (ko) * 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR20130037551A (ko) * 2011-10-06 2013-04-16 삼성전자주식회사 반도체 장치의 형성 방법 및 그에 의해 형성되는 반도체 장치
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9236265B2 (en) * 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5089428A (en) * 1989-12-27 1992-02-18 Texas Instruments Incorporated Method for forming a germanium layer and a heterojunction bipolar transistor
US5166084A (en) * 1991-09-03 1992-11-24 Motorola, Inc. Process for fabricating a silicon on insulator field effect transistor
US5268324A (en) * 1992-05-27 1993-12-07 International Business Machines Corporation Modified silicon CMOS process having selectively deposited Si/SiGe FETS
US5422305A (en) * 1993-10-29 1995-06-06 Texas Instruments Incorporated Method of forming implanted silicon resonant tunneling barriers
US5466949A (en) * 1994-08-04 1995-11-14 Texas Instruments Incorporated Silicon oxide germanium resonant tunneling
JPH1050820A (ja) * 1996-08-01 1998-02-20 Nittetsu Semiconductor Kk 半導体装置およびその製造方法
KR100320729B1 (ko) 1999-06-18 2002-01-19 밍-퉁 센 반도체칩 모듈 및 그 제조방법
US6352942B1 (en) * 1999-06-25 2002-03-05 Massachusetts Institute Of Technology Oxidation of silicon on germanium
KR100307635B1 (ko) * 1999-09-27 2001-11-02 윤종용 SiGe 채널의 모스 트랜지스터 및 그 제조 방법
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
KR100495668B1 (ko) * 2003-01-16 2005-06-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7348260B2 (en) * 2003-02-28 2008-03-25 S.O.I.Tec Silicon On Insulator Technologies Method for forming a relaxed or pseudo-relaxed useful layer on a substrate
KR100673108B1 (ko) * 2004-08-11 2007-01-22 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
KR100610465B1 (ko) * 2005-03-25 2006-08-08 주식회사 하이닉스반도체 반도체 소자의 제조 방법

Also Published As

Publication number Publication date
US7652331B2 (en) 2010-01-26
US20070284655A1 (en) 2007-12-13
US20100197123A1 (en) 2010-08-05
TWI267921B (en) 2006-12-01
US20060216878A1 (en) 2006-09-28
TW200634930A (en) 2006-10-01
KR100610465B1 (ko) 2006-08-08

Similar Documents

Publication Publication Date Title
JP2006279009A (ja) 半導体素子の製造方法
JP5052823B2 (ja) 半導体素子及びその製造方法
KR100673133B1 (ko) 반도체 소자의 제조 방법
KR100763337B1 (ko) 매립 게이트 라인을 갖는 반도체소자 및 그 제조방법
JP2006339621A (ja) 半導体素子の製造方法
JP2004128508A (ja) 多重チャンネルを有するモストランジスター及びその製造方法
TWI701763B (zh) 電晶體結構和半導體佈局結構
JP2008028357A (ja) 半導体素子及びその製造方法
US7692251B2 (en) Transistor for semiconductor device and method of forming the same
JP2011159760A (ja) 半導体装置の製造方法及び半導体装置
JP2006270049A (ja) 半導体素子の製造方法
JP2010050133A (ja) 半導体装置及び半導体装置の製造方法
JP2006279010A (ja) 半導体素子の製造方法
JP2009021503A (ja) 半導体装置およびその製造方法
KR20230094338A (ko) 반도체 소자의 제조 방법
JP2007067357A (ja) 半導体素子及びその製造方法
JP2011082476A (ja) 半導体素子及びその形成方法
KR20070014610A (ko) 반도체 소자의 제조 방법
JP2008294392A (ja) 半導体素子及びその製造方法
KR100843855B1 (ko) 반도체 소자 및 그의 제조 방법
JP2006054411A (ja) 半導体素子及びその製造方法
JP2007027678A (ja) 半導体素子のトランジスタ及びその形成方法
KR100636919B1 (ko) 반도체 소자의 제조 방법
KR100745882B1 (ko) 반도체 소자 및 그의 제조 방법
JP5307971B2 (ja) 半導体素子の製造方法