JP2005509292A - 信頼性の高いCu配線を形成する方法 - Google Patents

信頼性の高いCu配線を形成する方法 Download PDF

Info

Publication number
JP2005509292A
JP2005509292A JP2003543097A JP2003543097A JP2005509292A JP 2005509292 A JP2005509292 A JP 2005509292A JP 2003543097 A JP2003543097 A JP 2003543097A JP 2003543097 A JP2003543097 A JP 2003543097A JP 2005509292 A JP2005509292 A JP 2005509292A
Authority
JP
Japan
Prior art keywords
alloy
deposited
opening
depositing
thermal annealing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003543097A
Other languages
English (en)
Other versions
JP2005509292A5 (ja
Inventor
バン エヌジーオー ミン
ハリヤル アービンド
ペイトン エリック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of JP2005509292A publication Critical patent/JP2005509292A/ja
Publication of JP2005509292A5 publication Critical patent/JP2005509292A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

絶縁層(23,25)の開口部をCu(27)で充てんし、それから、酸化銅を減少すると共にたい積したCuをリフローすべく、NH3中でレーザ熱アニーリングする(29)ことによって、信頼性の高いCu配線を形成する。これにより、ボイド(28)を除去し、接触抵抗を減少する。
本実施形態は、約200〜約2000sccnの流量のNH3を使用する、レーザー熱形アニーリング(29)を含む。

Description

本発明は、半導体デバイスにおける銅(Cu)および(または)Cu合金の金属配線技術(メタライゼーション)に関し、より詳しくは、低誘電率材料におけるシングルまたはデュアルダマシン構造のような、信頼性の高いCu配線またはCu合金配線(Cu or Cu alloy interconnects)を形成する方法に関する。
本発明は、サブミクロンのデザイン造作および改善されたエレクトロマイグレーション耐性を備える高い伝導率の配線を有する高速集積回路の製造に、特に適用することができる。
高密度性、高性能性への高まる要求は、半導体成形技術に厳しい要件を課す。特に、エレクトロマイグレーション耐性を備える低RxC(resistance x capacitance)配線パターンであって、高アスペクト比のサブミクロンのビア、コンタクトおよびトレンチを有するものを提供する観点において、配線技術に厳しい要件を課す。
一般的に、従来の半導体デバイスは、半導体基板、ドープした単結晶シリコン、連続的に形成される複数の層間絶縁膜および導体パターンを含む。
集積回路は、配線間のスペーシングによって隔てられた電気配線からなる複数の導体パターンと、バス配線(bus lines)、ビット線(bit lines)、ワード線(word lines)、論理的な配線(logic interconnect lines)のような、複数の配線(interconnect lines)とを含んで形成される。
コンタクトホールを充てん(フィリング)する導電性のプラグは、ソース/ドレイン領域のような、半導体基板上の能動素子領域との電気的な接触を確立する一方、典型的に、異なる層、すなわち上位層および下位層の導体パターンは、ビアホールを充てんした導電性のプラグによって、電気的に接続される。
電気配線は、典型的に、半導体基板に関して実質的に水平に延びるトレンチ中に形成される。
5レベル以上のメタライゼーションを含む半導体「チップ」は、デバイスの形状(geometry)のシュリンクがサブミクロンレベルになるにつれて、より一般的になっている。
ビアホールを充てんする導電性のプラグは、典型的に、少なくとも一つの導体パターンを含む導電層上に層間絶縁膜をたい積するステップ、従来のリソグラフィ技術とエッチング技術によって層間絶縁膜を貫通する開口部を形成するステップ、そしてタングステン(W)のような導電材料で開口部を充てんするステップによって形成される。
層間絶縁膜の表面にある余分な導電材料は、典型的に化学機械平坦化(CMP)によって取り除かれる。
このような方法の一つは、ダマシンとして知られており、層間絶縁膜中に開口部を形成するステップと、開口部に金属を充てんするステップとを基本的に含む。
デュアル・ダマシンの技術は、電気配線と電気的に接続された状態にある伝導プラグを同時に形成するために、上部のトレンチ部分(この開口部は、導電材料で、典型的には金属で充てんされる。)と通ずる下部のコンタクトホールまたはビアホール部分を含む開口部を形成することを含む。この開口部は、導電材料で、典型的には金属で充てんされる。
高性能マイクロプロセッサのアプリケーションは、半導体回路類の迅速な速度を要求する。半導体回路類の制御速度は、配線パターンの抵抗およびキャパシタンスに反比例して変化する。
集積回路がより複雑になり、構造サイズおよびスペーシングがより小さくなるのに伴い、集積回路の速度は、トランジスタそのものにそれほど依存しないようになり、より配線パターンに依存するようになる。
小型化には、小さなコンタクトおよび小さな断面積を有する、長い配線が必要とされる。
金属配線の長さが増加し、断面積および配線間の距離が減少するにつれて、RxCの遅延が、相互接続配線の増加によって引き起こされる。
サブミクロン技術では、相互接続ノードが相当な距離、例えば、何百ミクロン以上の距離をひきまわされるとすると、相互接続キャパシタンスは、回路ノード・キャパシタンスのローディングを制限、すなわち、回路速度を制限する。
デザインルールを約0.12ミクロン以下に縮小するのに伴い、集積回路速度の遅れによるリジェクト率は、生産処理能力を著しく低下させ、製造コストを増加させる。
さらに、配線幅が減少するにつれて、電気伝導率およびエレクトロマイグレーション耐性がますます重要になる。
CuおよびCu合金は、配線メタライゼーション(interconnect metallizations)におけるアルミニウムを置換する候補としてかなり注目されている。
Cuは、相対的に高価ではなく、処理し易く、Alよりも低い抵抗性を有する。
さらにCuは、Cuを電気配線としても伝導プラグとしても使用できる望ましい金属にする、タングステンと比べて改良された電気的特性を有する。
Cuプラグおよび配線を形成するアプローチは、CMPを採用するダマシン構造を使用することを含む。
しかしながら、二酸化ケイ素のような層間絶縁膜材料を通じてのCu拡散によるため、Cu配線構造は、拡散バリア層によってカプセル化される必要がある。
典型的なバリア金属は、Cuをカプセル化するためのタンタル(Ta)、窒化タンタル(TaN)、チタン窒化物(TiN)、チタン(Ti)、チタンタングステン(TiW)、タングステン(W)、タングステン窒化物(WN)、Ti-TiN、チタン窒化シリコン(TiSiN)、タングステン窒化シリコン(WSiN)、タンタル窒化シリコン(TaSiN)および窒化ケイ素を含む。
Cuをカプセル化する、このようなバリア材の使用は、Cuと層間絶縁膜との間の境界に制限されず、同様に他の金属との境界を含む。
従来の配線方法に伴う、さらなる問題が存在する。
例えば、構造サイズがディープサブミクロンの範囲に縮小されるにつれて、典型的にアスペクト比が増加し、ボイド(空隙)が配線中に生成される。
図1に示すように、例えば金属配線である下にある構造が、その上にキャップ層12が置かれた状態で、絶縁層10中に形成される。
その上に絶縁層13,15が、エッチング停止層14を介した状態で形成される。
デュアルダマシン構造は、絶縁層13,15に開口部をエッチングするステップ、バリア金属層16をたい積するステップ、開口部を充てんすべく、CuまたはCu合金をたい積するステップ、および下にある金属構造11に電気的に接続される、下にあるCuビア17Bと通ずるCu配線17Aを形成すべく、CMP処理するステップによって形成される。その後、窒化シリコンのキャップ層18がたい積される。典型的には、回路信頼性に悪い影響を与え、エレクトロマイグレーション耐性を減少させるボイド19が形成される。
このようなボイドは、様々な原因により発生する可能性がある。例えば、約0.21〜0.23ミクロンのビア開口部のような小さいサイズの開口部を完全に充てんすることは明らかに困難であり、上述のボイドの発生原因となり得る。
デザインルールがディープサブミクロンの範囲に拡張するにつれて、配線パターンの信頼性は特に重要となり、また、エレクトロマイグレーションがますます問題になる。
従って、より高い精度、改善された信頼性、増加されたエレクトロマイグレーション耐性および減少された接触抵抗を備える、垂直方向のメタライゼーション・レベル用の、カプセル化されたCuおよびCu合金の配線の生成を可能にする方法が、引き続き必要とされている。
特に、改善された信頼性、エレクトロマイグレーション耐性および減少された接触抵抗を備えている、低誘電率(k)を有する絶縁材中で形成される、CuまたはCu合金のデュアルダマシン構造の生成を可能にする方法が、引き続き必要とされている。
本発明は、改善されたエレクトロマイグレーション耐性および減少された接触抵抗を備える、信頼性の高いCuまたはCu合金の配線を有する半導体素子を製造する方法である。
本発明のさらなる利点および他の構造は、以下の詳細な説明で記載される。そして、その一部は、以下の検討に基づいて、当業者に明白になるであろう。または本発明を実行することによって認識できる。
本発明の効果は、添付された請求項で特に指摘されるように、理解され、達成される。
本発明によれば、前述の効果および他の効果の一部は、ある半導体デバイスを製造する方法によって達成される。この方法は、絶縁層に開口部を形成するステップと、開口部を充てんすべく、CuまたはCu合金をたい積するステップと、アンモニア(NH3)中で、たい積したCuまたはCu合金をレーザ熱アニーリングするステップと、を有する。
本発明の一つの実施形態は、たい積したCuまたはCu合金の温度を約983℃〜約1183℃まで上昇させるべく、約200〜2000sccnの流量のNH3を使用し、約0.28〜0.34ジュール/cm2の放射フルエンスで、たい積されたCuまたはCu合金上に、パルス・レーザ光線を照射する(impinging)ことによって、レーザ熱アニーリングするステップを含む。
これにより、たい積したCuまたはCu合金をリフローする(reflow)と共に、ボイドを除去する。
レーザ熱アニーリング中にNH3を使用することは、リフローより前およびリフロー中に、効果的に酸化銅を減少させる。これにより、接触抵抗を減少させると共に、デバイスの信頼性を改善する。
本発明のある実施形態は、レーザ熱アニーリングの後、露出したCuまたはCu合金の表面を絶縁層の上表面と基本的に同一平面上にあるようにするCMP処理をすることによってプレーナ化(平坦化)するステップと、酸化銅をそこから除去するため、NH3を含むプラズマ(電離気体)中で、露出したCuまたはCu合金表面を化学的に処理(treat)するステップと、その後、プラズマ処理された表面上の、PECVD(Plasma Enhanced Chemical Vapor Deposition:プラズマ強化化学気相蒸着法)によって二酸化ケイ素のキャップ層をたい積するステップとを含む。
本発明のある実施形態は、さらに、ウェーハ上の一つ以上の層間絶縁膜中に開口部を形成するステップと、開口部の内側を覆う窒化タンタル層と、窒化タンタル層上のアルファ・タンタル(α-Ta)層を含む合成物(composite)のような、下にある拡散バリア層をたい積するステップと、を備えるシングル・デュアルダマシン技術を含む。その後、シード層をたい積することができる。
それから、CuまたはCu合金層は、開口部を充てんしてたい積される。
その後、酸化銅を減少させるべく、NH3中におけるレーザ熱アニーリングが行われると共に、ボイドを除去すべく、たい積されたCuまたはCu合金がリフローされる。
その後、露出面を酸化させるため、開口部の下のCuまたはCu合金層を除去すべく、CMP処理される。
その後、ウェーハは、チャンバに運ばれ、そこでCuまたはCu合金層の露出面は、NH3中のプラズマで、CMPの間に形成された全ての酸化銅を除去するように化学的に処理される。
その後、シラン(SiH4)が導入され、PECVDによってプラズマ処理された表面上に、窒化ケイ素のキャップ層がたい積される。
本発明のさらなる効果は、以下の詳細な説明から、当業者に容易に明白になるであろう。詳細な説明には、本発明の実施形態が、単に、本発明を実行するために熟考された最良のモードの例として、記載されている。
認識されるように、本発明は、他の実施形態および異なる実施形態とすることができる。また、それぞれの詳細は、本発明内のすべての、様々な明白な点における修正例ができる。
このように、図面および詳細な説明は、本来例示的なものであって、制限的なものではないとみなされる。
本発明の実施の形態
本発明は、サブミクロンのCu配線またはCu合金配線の形成に伴うボイドの問題を扱い、解決する。これにより、接触抵抗を減少させる一方、デバイスの信頼性およびエレクトロマイグレーションのふるまいを改善する。
この出願の全体にわたって使用されるように、記号Cuは、高純度の銅と同様に、少量のタンタル、インジウム、すず、亜鉛、マンガン、チタン、マグネシウム、クロム、チタン、ゲルマニウム、ストロンチウム、白金、マグネシウム、アルミニウムまたはジルコニウムを含むCu合金のような、Cuベースの合金を包含するものとする。
デザインルールが約0.12ミクロン以下のようなディープサブミクロンの範囲に縮小されるのにつれて、ボイドが生成されることなく、金属で完全に絶縁層の開口部を充てんすること(シングル・デュアルダマシンの開口部をCuで充てんするように)は、ますます困難になる。
2001年6月27日に出願された、出願番号09/894,167号の同時係属中の出願に、たい積されたCuをレーザ熱アニーリングすることにより、Cuのボイドの問題が扱われている。
本発明は、NH3中でレーザ熱アニーリングを行うことによって、このような方法についてさらに改善または改良するものである。これにより、たい積したCuのリフローより前に、およびそのリフロー中に、酸化銅を効果的に減少させ、これに伴って接触抵抗が減少する。
レーザ熱アニーリング中に、NH3は、窒素と水素に分解すると考えられる。
放出された水素は、酸化銅を減少する。これにより、接触抵抗を減少すると共に、基本的に均質な配線(homogeneous interconnect)を提供する。
本発明の実施形態によれば、たい積されたCuは、短時間(例えば10〜100ナノ秒)、約200〜2000sccnの流量のNH3を使用し、約0.28〜0.34ジュール/cm2の放射フルエンスで、その上にパルス・レーザ光線を照射する(impinging)ことによって、レーザ熱アニーリングにさらされる。
レーザ熱アニーリング中に、酸化銅は減少する。また、たい積されたCuの温度は、約983℃〜1183℃上昇する。この温度において、たい積されたCuはリフローし、これによってボイドが除去される。
続いて、たい積されたCuの上表面は、絶縁層の上表面と基本的に同じ高さにするようにCMP処理される。
CMPの結果、その後たい積されるキャップ層の適切な付着を妨げる面倒な酸化銅が、典型的に形成される。
従って、本発明の実施形態は、酸化銅をそこから除去すべく、CMPの後に露出するCu表面を、NH3を含むプラズマで化学的に処理するステップと、その後、PECVDによってプラズマ処理された表面上に、窒化ケイ素のキャップ層をたい積するステップとを含む。
配線のボイドを減少するために、また、接触抵抗を減少させるために、本発明の実施形態によってレーザ熱アニーリングを使用することは、複数の利点を有する。
例えば、レーザ熱アニーリングは、埋め込み(inlaid)Cuの露出面をターゲットとする際、その位置を正確に定めることができる。
これにより、ドーパント不純物拡散問題のような様々な問題を引き起こす、ウェーハの他の部分の温度の不必要な上昇を回避する。
本発明の実施形態を実行するにあたり、例えば、約100〜約400mJ/cm2/パルスの、約10〜約2000mJ/cm2/パルスのエネルギーで作動できるレーザ光源を利用するもののような、様々な商業的に入手可能なレーザのいずれを使用してもよい。
このようなレーザ・アニーリングを実行することができる、商業上入手可能なレーザが存在する。
ヴェルダント・テクノロジー(Verdant Technologies)社のレーザ・アニール器具はその一例であり、308nmの露光波長で作動する。
本発明の実施形態にしたがって形成されるCu配線は、ダマシン技術によって形成されるが、これに制限されるものではない。
このように、本発明の実施形態は、基板に重なる層間絶縁膜を形成するステップと、この層間絶縁膜中に開口部(例えば、ダマシン開口部)を形成するステップと、最初にたい積される窒化タンタル層と、この窒化タンタル層上のアルファ・タンタル(α-Ta)層を含む、複合拡散バリア層(composite diffusion barrier layer)をたい積するステップと、開口部を銅で充てんするステップとを含む。
有利に、層間絶縁膜の開口部は、まずシード層をたい積し、それから電気めっきを施すことにより、または無電解にめっきを施すことにより、充てんすることができる。
典型的なシード層は、マグネシウム、アルミニウム、亜鉛、ジルコニウム、すず、ニッケル、パラジウム、銀または金を、適切な量、例えば、約0.3〜約12%含む、Cu合金を包含する。
それから、たい積されたCuは、酸化銅を減少させ、これにより接触抵抗を減少させるために、そして、たい積されたCuをリフローし、これによりボイドを除去するために、NH3中でレーザ熱アニーリングにさらされる。
その後、埋め込みCuの上表面が、基本的に、層間絶縁膜の上表面と同一平面上にあるようにすべく、CMPが実行される。
CMPの結果、典型的に、酸化銅の薄膜が形成される。
それから、Cuの露出した酸化表面を、このような酸化銅を除去するため、NH3を含むプラズマで化学的に処理し、PECVDによって、窒化ケイ素のキャップ層をたい積する。
本発明の実施形態によれば、約50℃〜約150℃の温度でのPVDによって、または約200℃より低い温度でのCVDによって、ダマシンの開口部をCuで充てんすることも可能となる。
本発明の様々な実施形態においては、従来の基板および層間絶縁膜を使用することができる。
基板は、例えば、ドープした単結晶のシリコンまたはヒ化ガリウムであり得る。
本発明で使用される層間絶縁膜は、半導体デバイスの製造において、従来から使用されている絶縁材料(dielectric material)のどれを含んでいてもよい。
例えば、二酸化ケイ素、リンガラス(PSG)(phosphorous-doped silicate-glass)、ボロンガラス(BPSG)(boron-and phosphorus doped silicate glass )、PECVDによって、テトラエトキシシラン (TEOS)(tetraethylorthosilicate)、シランから得られる二酸化ケイ素を使用することができる。
絶縁層中の開口部は、従来のリソグラフィ技術およびエッチング技術によって形成される。
有利には、本発明の実施形態にしたがって層間絶縁膜として使用される絶縁材料は、配線キャパシタンスを減少するため、より低い誘電率値を備える絶縁材料および上記の絶縁材料を含むことができる。
「low-k」材料という表現は、約3.9未満(例えば約3.5以下)の比誘電率を備える特徴の材料を発展させた。
ここに記載される比誘電率の値は、真空における値(1)をベースとする。
有機材料、無機材料の両方を含む幅広いlow-k材料が、本発明の実施形態によって使用することができる。適切な有機材料は、様々なポリイミドおよびBCBを含む。
他の適切なlow-k材料は、ポリエーテル(ポリエチレン)、ポリエーテル・アゾール(ポリエチレン・アゾール)(poly (arylene) ether azoles)、パリレンN、ポリイミド、ポリナフタレンN(polynapthalene-N)、ポリフェニルキノキサリン(PPQ)(polyphenylquinoxalines)、ポリフェニレンオキシド(polyphenyleneoxide)、ポリエチレンおよびポリプロピレンを含む。
本発明の実施形態に使用するのに適する他のlow-k材料は、FOX(登録商標)(HSQベースの)、XLK(登録商標)(HSQベースの)、ポーラスシルク(porous SILK)(登録商標)、芳香族炭化水素ポリマー(それぞれ、ミシガン州のミッドランドの、DowChemical社から入手可能である。)、Coral(登録商標)、炭素ドープされた二酸化ケイ素(carbon-doped silicon oxide)(カリフォルニア州のサンホセの、Novellus Systemsから入手可能である。)、SiCOH(silicon-carbon-oxygen-hydrogen)有機絶縁体、ブラックダイアモンド(Black-Diamond)絶縁体(登録商標)、フレア(Flare)(登録商標)、有機ポリマー、HOSP(登録商標)、ハイブリッドのsioloxane有機ポリマー、ナノグラス(Nanoglass)(登録商標)、ナノポーラス・シリカ(それぞれ、Honeywell Electronic Materialsから入手可能である。)、テトラエトキシシラン (TEOS)から得られるハロゲンドープされた(例えば、フッ素ドープされた)二酸化ケイ素、フッ素ドープされたシリケートガラス(FSG)を含む。
本発明の実施形態は、図2ないし図4において、概略的に示される。
図2を参照すると、下方の金属構造21(例えば、Cu配線)が、下にある絶縁層20(例えば、low-k絶縁層)中に形成される。
それから、窒化シリコンまたは炭化ケイ素のキャップ層22が形成され、続いて絶縁層23、窒化シリコンまたは炭化ケイ素のエッチング停止層24、そして絶縁層25が連続して形成される。
絶縁層23,25は、例えば、フッ素ドープされたオルトケイ酸テトラエチル(F-doped Tetraethyl Orthosilicate)(F-TEOS)から生成される、フッ素を含むシリコン酸化膜のような、low-k絶縁材料を含むことができる。
その後、最初の窒化タンタル層およびその上のアルファ・タンタル(α-Ta)層を含む、複合バリア層が、開口部の内側を覆うべく、たい積される。
シード層(図示しない)が、たい積されてもよい。
その後、Cu 27は、デュアルダマシンの開口部を充てんし、かつ表層(overburden)を形成すべく、たい積される。
その結果生成されるCu配線は、デバイス信頼性およびエレクトロマイグレーションの性能に悪影響を与えるボイド28を含む。また、Cuのたい積の間に、少量の酸化銅が生成される。
図2に示すように、たい積されたCu27は、矢印29によって概略的に示されるように、NH3を流しながら、パルス・レーザ光線を照射する(impinging)ことによって、レーザ熱アニーリングにさらされる。
有利に、パルス・レーザ光線がたい積したCu27の温度を上昇させ、ボイド28を除去するための溶解およびリフローを引き起こす一方で、NH3を使用することは、接触抵抗を減少させ、これにより酸化銅を減少させる。
レーザ熱アニーリングの後の構造を、図3に概略的に示す。
その後、CMP処理される。露出したCu表面はNH3中でプラズマ処理され、図4に示すように、窒化ケイ素のキャップ層40がたい積される。
その結果生成されるCu配線構造は、その下にあるCuビア27Bと通ずるCu配線27Aを含む。Cuビア27Bは、その下にある金属構造21と電気的接触状態にある。
有利に、本発明の方法は、減少した接触抵抗および改善されたエレクトロマイグレーションのふるまいを呈する、信頼性の高いCu配線を備える、ディープサブミクロンにおける構造サイズを有する半導体デバイスの成形を可能にする。
CMPに先立って、NH3中におけるレーザ熱アニーリングを使用することは、酸化銅を効果的に減少する。
これにより、接触抵抗が減少し、たい積されたCuのリフローを引き起こし、ボイドを除去させ、デバイス信頼性の改善、減少されたエレクトロマイグレーション損傷の減少および回路速度の増加を呈する、基本的に均一に充てんされた開口部を生成する。
本発明は、様々なタイプの、埋め込みCuメタライゼーション配線パターンの生成における産業上の利用可能性を有する。
本発明は、特に、サブミクロンの構造および高いアスペクト比を備える開口部を有する半導体デバイスの製造に適用することができる。
以上の記載において、本発明についてのさらなる理解を提供すべく、特定の材料、構造、薬品、プロセス等のように多数の特定の詳細を記載した。
しかしながら、本発明は特別に記載された詳細によらずに実行することができる。
その他、既知の処理および材料は、本発明を不必要に不明瞭にしないため、詳細には記載されていない。
本発明の好ましい実施形態のみであるが、その多様性のうちのいくつかの例が、本発明において示されると共に、記載される。
本発明は、様々な他の組合わせおよび環境において使用できると共に、ここに記載されるような本発明の概念の範囲内の変形または修正することができるものとして理解される。
Cu配線のボイドの形成を概略的に示した図。 概略的に、本発明の実施形態に従って方法の連続的な段階を示した図(類似の構造または要素は、類似の参照符号によって表示される)。 概略的に、本発明の実施形態に従って方法の連続的な段階を示した図(類似の構造または要素は、類似の参照符号によって表示される)。 概略的に、本発明の実施形態に従って方法の連続的な段階を示した図(類似の構造または要素は、類似の参照符号によって表示される)。

Claims (10)

  1. 絶縁層(23)、(25)に開口部を形成するステップと、
    開口部(27)を充てんするように、銅(Cu)またはCu合金をたい積するステップと、
    アンモニア(NH3)中で、たい積したCuまたはCu合金をレーザ熱アニーリングするステップ(29)とを含む、
    半導体デバイスの製造方法。
  2. 約0.28〜約0.34ジュール/cm2の放射フルエンスで、前記たい積したCuまたはCu合金(27)上にパルス・レーザ光線を照射する(29)ことによって、レーザ熱アニーリングするステップを含む、請求項1記載の方法。
  3. 前記たい積したCuまたはCu合金(27)を約983℃〜約1183℃の温度まで熱し、これによりたい積したCuまたはCu合金をリフローするようにレーザ熱アニーリングするステップ(29)を含む、請求項2記載の方法。
  4. 前記レーザ熱アニーリングするステップ(29)は、約200〜2000sccnの流量のNH3を使用する、請求項1記載の方法。
  5. 前記CuまたはCu合金をたい積するステップの前に、前記開口部の内側を覆うバリア層をたい積するステップを有する、請求項1記載の方法。
  6. 前記たい積したCuまたはCu合金(27)の上表面が前記絶縁層(25)の上表面と基本的に同一平面上にあるようにすべく、機械化学研磨(CMP)処理するステップを含む、請求項1記載の方法。
  7. 前記CuまたはCu合金(27)の前記上表面から酸化銅を除去するように、NH3を含むプラズマ中で、前記CuまたはCu合金(27)の前記上表面を化学的に処理するステップと、
    プラズマ強化化学気相蒸着法によって、プラズマ処理された表面上に二酸化ケイ素のキャップ層(40)をたい積するステップとを含む、請求項6記載の方法。
  8. 前記開口部は、上部のトレンチ部分と通ずる下部のビアホール部分を含むデュアルダマシンの開口部であり、
    前記方法は、その下にあるビア(27B)と通ずる上部配線(27A)を形成すべく、前記開口部を充てんするように前記CuまたはCu合金(27)をたい積するステップを含む、請求項1記載の方法。
  9. 前記絶縁層(23)、(25)は、酸化物を含む、請求項8記載の方法。
  10. 前記酸化物(23)、(25)は、フッ素ドープされたテトラエトキシシランから生成される、フッ素を含むシリコン酸化膜である、請求項9記載の方法。
JP2003543097A 2001-11-08 2002-11-08 信頼性の高いCu配線を形成する方法 Pending JP2005509292A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/986,267 US6727176B2 (en) 2001-11-08 2001-11-08 Method of forming reliable Cu interconnects
PCT/US2002/035964 WO2003041162A2 (en) 2001-11-08 2002-11-08 Method of forming reliable cu interconnects

Publications (2)

Publication Number Publication Date
JP2005509292A true JP2005509292A (ja) 2005-04-07
JP2005509292A5 JP2005509292A5 (ja) 2006-01-19

Family

ID=25532252

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003543097A Pending JP2005509292A (ja) 2001-11-08 2002-11-08 信頼性の高いCu配線を形成する方法

Country Status (7)

Country Link
US (1) US6727176B2 (ja)
EP (1) EP1442479B1 (ja)
JP (1) JP2005509292A (ja)
KR (1) KR100892403B1 (ja)
CN (1) CN1582491A (ja)
DE (1) DE60233886D1 (ja)
WO (1) WO2003041162A2 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019083333A (ja) * 2019-01-22 2019-05-30 ルネサスエレクトロニクス株式会社 半導体装置
KR20210013248A (ko) * 2018-10-04 2021-02-03 (주)알엔알랩 반도체 디바이스 제조 방법

Families Citing this family (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6737747B2 (en) * 2002-01-15 2004-05-18 International Business Machines Corporation Advanced BEOL interconnect structures with low-k PE CVD cap layer and method thereof
US7101788B2 (en) * 2002-02-28 2006-09-05 Texas Instruments Incorporated Semiconductor devices and methods of manufacturing such semiconductor devices
KR100455382B1 (ko) * 2002-03-12 2004-11-06 삼성전자주식회사 듀얼 다마신 구조를 가지는 반도체 소자의 금속 배선 형성방법
KR100465063B1 (ko) * 2002-04-01 2005-01-06 주식회사 하이닉스반도체 반도체 소자의 금속배선 형성방법
KR100483290B1 (ko) * 2002-12-14 2005-04-15 동부아남반도체 주식회사 반도체 소자의 제조 방법
US20050104072A1 (en) 2003-08-14 2005-05-19 Slater David B.Jr. Localized annealing of metal-silicon carbide ohmic contacts and devices so formed
DE102005020061B4 (de) * 2005-03-31 2016-12-01 Globalfoundries Inc. Technik zur Herstellung von Verbindungsstrukturen mit reduzierter Elektro- und Stressmigration und/oder geringerem Widerstand
US7375031B2 (en) 2005-04-29 2008-05-20 Advanced Micro Devices, Inc. Technique for forming interconnect structures with reduced electro and stress migration and/or resistivity
KR100702797B1 (ko) * 2005-12-09 2007-04-03 동부일렉트로닉스 주식회사 반도체소자의 구리배선막 형성방법
KR100734665B1 (ko) * 2005-12-20 2007-07-02 동부일렉트로닉스 주식회사 반도체소자의 구리배선 형성 방법
US7851343B2 (en) * 2007-06-14 2010-12-14 Cree, Inc. Methods of forming ohmic layers through ablation capping layers
US8304909B2 (en) * 2007-12-19 2012-11-06 Intel Corporation IC solder reflow method and materials
CN101996888B (zh) * 2009-08-20 2013-01-23 中芯国际集成电路制造(上海)有限公司 凸点的形成方法
US8927433B2 (en) * 2009-12-18 2015-01-06 Electronics And Telecommunications Research Institute Conductive via hole and method for forming conductive via hole
US8563095B2 (en) * 2010-03-15 2013-10-22 Applied Materials, Inc. Silicon nitride passivation layer for covering high aspect ratio features
KR101776926B1 (ko) 2010-09-07 2017-09-08 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
US8232200B1 (en) * 2011-03-18 2012-07-31 International Business Machines Corporation Methods of forming integrated circuit devices having damascene interconnects therein with metal diffusion barrier layers and devices formed thereby
CN102732925A (zh) * 2011-04-15 2012-10-17 诺发系统有限公司 用于填充互连结构的方法及设备
US8575028B2 (en) 2011-04-15 2013-11-05 Novellus Systems, Inc. Method and apparatus for filling interconnect structures
CN102760685B (zh) * 2011-04-27 2015-01-21 中芯国际集成电路制造(上海)有限公司 铜互连线的刻蚀后处理方法
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
CN103311174A (zh) * 2012-03-07 2013-09-18 中芯国际集成电路制造(上海)有限公司 一种制作铜互连结构的方法
CN103426816B (zh) * 2012-04-26 2018-03-09 应用材料公司 用于高深宽比填充的半导体反流处理
KR20130121042A (ko) * 2012-04-26 2013-11-05 어플라이드 머티어리얼스, 인코포레이티드 피쳐 필을 위한 반도체 리플로우 프로세싱
US10665503B2 (en) 2012-04-26 2020-05-26 Applied Materials, Inc. Semiconductor reflow processing for feature fill
CN104584192B (zh) * 2012-08-30 2018-03-30 应用材料公司 反射沉积环和包括反射沉积环的基板处理室
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
CN105244311B (zh) * 2014-07-08 2018-09-21 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
US9412658B2 (en) * 2014-09-19 2016-08-09 International Business Machines Corporation Constrained nanosecond laser anneal of metal interconnect structures
US10014170B2 (en) 2015-05-14 2018-07-03 Lam Research Corporation Apparatus and method for electrodeposition of metals with the use of an ionically resistive ionically permeable element having spatially tailored resistivity
US9748173B1 (en) 2016-07-06 2017-08-29 International Business Machines Corporation Hybrid interconnects and method of forming the same
US10008418B2 (en) 2016-09-30 2018-06-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of semiconductor integrated circuit fabrication
KR102208545B1 (ko) * 2018-10-04 2021-01-28 (주)알엔알랩 반도체 디바이스 제조 방법
US11222816B2 (en) * 2020-06-16 2022-01-11 Applied Materials, Inc. Methods and apparatus for semi-dynamic bottom up reflow
US11527437B2 (en) 2020-09-15 2022-12-13 Applied Materials, Inc. Methods and apparatus for intermixing layer for enhanced metal reflow
US20230215727A1 (en) * 2022-01-05 2023-07-06 Polar Semiconductor, Llc Forming passivation stack having etch stop layer

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0751566A3 (en) * 1995-06-30 1997-02-26 Ibm Metal thin film barrier for electrical connections
US5789317A (en) 1996-04-12 1998-08-04 Micron Technology, Inc. Low temperature reflow method for filling high aspect ratio contacts
JP3463979B2 (ja) * 1997-07-08 2003-11-05 富士通株式会社 半導体装置の製造方法
JP3501265B2 (ja) 1997-10-30 2004-03-02 富士通株式会社 半導体装置の製造方法
EP1019954B1 (en) * 1998-02-04 2013-05-15 Applied Materials, Inc. Method and apparatus for low-temperature annealing of electroplated copper micro-structures in the production of a microelectronic device
US6174810B1 (en) * 1998-04-06 2001-01-16 Motorola, Inc. Copper interconnect structure and method of formation
US6165894A (en) 1998-07-09 2000-12-26 Advanced Micro Devices, Inc. Method of reliably capping copper interconnects
US6368967B1 (en) * 2000-05-04 2002-04-09 Advanced Micro Devices, Inc. Method to control mechanical stress of copper interconnect line using post-plating copper anneal
US6261963B1 (en) * 2000-07-07 2001-07-17 Advanced Micro Devices, Inc. Reverse electroplating of barrier metal layer to improve electromigration performance in copper interconnect devices
US6391777B1 (en) * 2001-05-02 2002-05-21 Taiwan Semiconductor Manufacturing Company Two-stage Cu anneal to improve Cu damascene process
US6417100B1 (en) * 2001-06-04 2002-07-09 Advanced Micro Devices, Inc. Annealing ambient in integrated circuit interconnects

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210013248A (ko) * 2018-10-04 2021-02-03 (주)알엔알랩 반도체 디바이스 제조 방법
KR102262292B1 (ko) 2018-10-04 2021-06-08 (주)알엔알랩 반도체 디바이스 제조 방법
JP2019083333A (ja) * 2019-01-22 2019-05-30 ルネサスエレクトロニクス株式会社 半導体装置

Also Published As

Publication number Publication date
EP1442479B1 (en) 2009-09-30
US6727176B2 (en) 2004-04-27
WO2003041162A2 (en) 2003-05-15
CN1582491A (zh) 2005-02-16
KR20050056925A (ko) 2005-06-16
EP1442479A2 (en) 2004-08-04
KR100892403B1 (ko) 2009-04-10
WO2003041162A3 (en) 2003-09-04
DE60233886D1 (de) 2009-11-12
US20030087522A1 (en) 2003-05-08

Similar Documents

Publication Publication Date Title
JP2005509292A (ja) 信頼性の高いCu配線を形成する方法
JP4740538B2 (ja) 半導体デバイスの製造方法
US6429128B1 (en) Method of forming nitride capped Cu lines with reduced electromigration along the Cu/nitride interface
JP4956919B2 (ja) 半導体装置およびその製造方法
US6509267B1 (en) Method of forming low resistance barrier on low k interconnect with electrolessly plated copper seed layer
US6764951B1 (en) Method for forming nitride capped Cu lines with reduced hillock formation
WO2002103783A2 (en) Method of forming low resistance vias
US6432822B1 (en) Method of improving electromigration resistance of capped Cu
US6506677B1 (en) Method of forming capped copper interconnects with reduced hillock formation and improved electromigration resistance
US6664187B1 (en) Laser thermal annealing for Cu seedlayer enhancement
US6797652B1 (en) Copper damascene with low-k capping layer and improved electromigration reliability
US6818557B1 (en) Method of forming SiC capped copper interconnects with reduced hillock formation and improved electromigration resistance
US6723634B1 (en) Method of forming interconnects with improved barrier layer adhesion
US6731006B1 (en) Doped copper interconnects using laser thermal annealing
US6743310B1 (en) Method of forming nitride capped Cu lines with improved adhesion and reduced electromigration along the Cu/nitride interface
US6713874B1 (en) Semiconductor devices with dual nature capping/arc layers on organic-doped silica glass inter-layer dielectrics
US7675177B1 (en) Forming copper interconnects with Sn coatings
KR100852207B1 (ko) 절연막 제거방법 및 금속 배선 형성방법
US6897144B1 (en) Cu capping layer deposition with improved integrated circuit reliability
KR100854910B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100386628B1 (ko) 반도체 소자의 금속 배선 형성방법
KR100935193B1 (ko) 반도체 소자의 금속배선 및 그의 형성방법
KR20100073779A (ko) 반도체 소자의 금속배선 및 그 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20051104

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051122

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20071101

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090331

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090630

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090707

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091027