JP2005055893A - リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法 - Google Patents

リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法 Download PDF

Info

Publication number
JP2005055893A
JP2005055893A JP2004223337A JP2004223337A JP2005055893A JP 2005055893 A JP2005055893 A JP 2005055893A JP 2004223337 A JP2004223337 A JP 2004223337A JP 2004223337 A JP2004223337 A JP 2004223337A JP 2005055893 A JP2005055893 A JP 2005055893A
Authority
JP
Japan
Prior art keywords
composition
layer
radiation
hard mask
alcohol
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2004223337A
Other languages
English (en)
Other versions
JP4042981B2 (ja
Inventor
Katherina Babich
カテリナ・バビーシュ
Arpan P Mahorowala
アーパン・ピー・マホロワラ
David R Medeiros
デヴィッド・アール・メデイロス
Dirk Pfeiffer
ディルク・パイファ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005055893A publication Critical patent/JP2005055893A/ja
Application granted granted Critical
Publication of JP4042981B2 publication Critical patent/JP4042981B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D183/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing silicon, with or without sulfur, nitrogen, oxygen, or carbon only; Coating compositions based on derivatives of such polymers
    • C09D183/04Polysiloxanes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0757Macromolecular compounds containing Si-O, Si-C or Si-N bonds

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Materials Engineering (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】半導体デバイスを加工するための組成物および技術を提供すること、より詳細には、本発明の一態様で反射防止ハードマスク組成物を提供し、本発明の別の態様で半導体デバイスの加工方法を提供する。
【解決手段】本発明の組成物は、完全縮合ポリヘドラルオリゴシルセスキオキサン、{RSiO1.5(但し、nは8である)と、少なくとも1つの発色団部分および透明部分とを含む。本発明の方法は、基板上に材料層を設けるステップと、この材料層の上に反射防止ハードマスク層を形成するステップとを含む。この反射防止ハードマスク層は、完全縮合ポリヘドラルオリゴシルセスキオキサン、{RSiO1.5(但し、nは8である)と、少なくとも1つの発色団部分および透明部分とを含む。
【選択図】図1

Description

本発明は、半導体デバイスに関し、より具体的には、半導体デバイスの加工に関する。
マイクロエレクトロニクス産業、ならびに微視的構造体、例えばマイクロマシンおよび磁気抵抗ヘッドの製造に関与するその他の産業には、構造フィーチャのサイズをさらに小さくしたい要望がある。特にマイクロエレクトロニクス産業では、マイクロエレクトロニック・デバイスの寸法が小さくなる一方で、所与のチップ寸法に対してより多くの回路が必要とされている。
構造フィーチャのサイズを小さくするには、効果的なリソグラフィ技術が不可欠である。リソグラフィは、所望の基板上にパターンを直接イメージングする観点からだけでなく、こうしたイメージングに通常使用されるマスクを作るという観点からも、微視的構造体の製造に影響を及ぼすものである。
ほとんどのリソグラフィ・プロセスでは、反射防止コーティング(ARC)を使用して、放射線感受性レジスト材料層などのイメージング層と下層間の反射率をできるだけ小さくして解像度を上げている。しかし、これらのARC材料は、これらの層の元素組成が似ているために、イメージング層に対するエッチング選択性を低下させてしまう。したがって、パターニング後のARCのエッチング中に、イメージング層の多くも消耗されてしまう。このイメージング層は、その後のエッチング工程で追加のパターニングのために必要であった筈のものである。
さらに、いくつかのリソグラフィ技術では、使用される放射線感受性レジスト材料は、その後のエッチング工程に対して、この放射線感受性レジスト材料の下にある層への所望のパターンの効果的な転写を可能にする十分な抵抗とならない。多くの例で、例えば、非常に薄い放射線感受性レジスト材料が使用される場合、エッチングされる下層が厚い場合、相当なエッチング深さが必要な場合、所与の下層に対してある種のエッチング液を使うことが望ましい場合、あるいはこれらを任意に組み合わせる場合に、ハードマスク層が使用される。ハードマスク層は、パターン化された放射線感受性レジスト材料と、パターン化される下層との間の中間層としての役割を果たす。ハードマスク層は、パターン化放射線感受性レジスト材料層からパターンを受け、このパターンを下層に転写する。ハードマスク層は、パターンを転写するために必要なエッチング・プロセスに耐えることができなければならない。
ARC組成物として有用な多くの材料が知られているが、放射線感受性レジスト材料、ハードマスク層、および下層に対するエッチング選択性が高い、改良されたARC組成物が必要である。さらに、多くの既知のARCは、基板への塗布が難しい。例えば、これらのARCを塗布するには、化学気相成長法、物理蒸着法、特殊溶媒、高温加熱処理、またはこれらの任意の組合せが必要になることがある。
エッチング選択性が高く、かつ複数のエッチングに対して十分な抵抗を有するリソグラフィ技術の実現が望まれている。このようなリソグラフィ技術により、高精密半導体デバイスの製造が可能になる。
米国特許第4,371,605号 特開平1−293339 カナダ特許第1204547号 米国特許第5,886,102号 米国特許第5,939,236号 米国特許第6,037,097号 米国特許第4,855,017号 米国特許第5,362,663号 米国特許第5,429,710号 米国特許第5,562,801号 米国特許第5,618,751号 米国特許第5,744,376号 米国特許第5,801,094号 米国特許第5,821,469号 米国特許第5,948,570号 米国特許第6,365,765号 米国特許第6,268,457号 米国特許第6,506,497号 米国特許第6,368,400号 米国特許第6,503,692号 米国特許第6,420,088号 米国特許第6,730,454号 米国特許出願公開第22042020A1号 F.J.フェール(F. J. Feher)、ポリヘドラルオリゴシルセスキオキサンおよびヘテロシルセスキオキサン(PolyhedralOligosilsesquioxanes and Heterosilsesquioxanes)、ゲレスト社カタログ(Gelest Catalog)、43-59頁(1998年) W.モロー(W. MOREAU)「半導体リソグラフィ、原理、実際、および材料(SEMICONDUCTORLITHOGRAPHY, PRINCIPLES, PRACTICES, AND MATERIALS)」12-13章(1988年)
本発明は、半導体デバイスを加工するための組成物および技術を提供する。本発明の一態様では、反射防止ハードマスク組成物が提供される。本発明の別の態様では、半導体デバイスの加工方法が提供される。
本発明の組成物は、完全縮合ポリヘドラルオリゴシルセスキオキサン、{RSiO1.5(但し、nは8である)と、同数の少なくとも1つの発色団部分(moiety)および透明部分とを含む。この組成物は、酸発生剤、架橋成分、および別の架橋成分の任意の組合せを含んでもよい。
本発明の方法は、基板上に材料層を設けるステップと、この材料層の上に反射防止ハードマスク層を形成するステップとを含む。この反射防止ハードマスク層は、完全縮合ポリヘドラルオリゴシルセスキオキサン、{RSiO1.5(但し、nは8である)と、少なくとも1つの発色団部分および透明部分とを含む。この方法は、この反射防止ハードマスク層の上に放射線感受性イメージング層を形成するステップと、この放射線感受性イメージング層を放射線にパターン露光することによりイメージング層に放射線露光領域のパターンを作るステップと、この放射線感受性イメージング層およびこの反射防止ハードマスク層の部分を選択的に除去して前述の材料層の部分を露出するステップと、この材料層の露出部分をエッチングすることによりパターン化材料のフィーチャを基板上に形成するステップとをさらに含む。
本発明のより完全な理解、ならびに本発明のその他の特徴および利点は、以下の詳細な説明および図面を参照することによって得られるであろう。
反射防止ハードマスク組成物(以下本明細書では「組成物」)を本明細書で開示する。この組成物は、完全縮合ポリヘドラルオリゴシルセスキオキサン(POSS)材料を含む。完全縮合POSS材料は、{RSiO1.5単位(但し、nは6〜12である)を含むことができる。
代表的な実施形態では、完全縮合POSS材料は、式{RSiO1.5(但し、nは8であり、SiO結合を含む)の立方体部分から選択される。この完全縮合POSS材料は、通常のスピンコーティングによる層形成を促す溶液特性およびフィルム形成特性を有するものである。
この完全縮合POSS材料は、以下に図示した一般構造式IおよびIIのいずれかを有する。
Figure 2005055893
式中、Rは、発色団部分または透明部分あるいはその両方を含む。R基は、さらに架橋成分としての役割を果たすこともできる。R基は、R基の化学的性質に応じて、追加の架橋成分と共にまたはそれ無しで架橋成分となることができる。追加の架橋成分は、以下に説明する。ある例では、同一のPOSS単位に多数の官能性部分が存在することができる。したがって、例えば、発色団部分および透明部分は、同一のPOSS単位に存在することができる。発色団部分、透明部分、または架橋成分あるいはこれらすべてを含むPOSS単位をブレンドすることが望ましいであろう。
一般に、すべての完全縮合POSS材料は、SiOH基が存在しない、または最少量しか含まれていないことでPOSS材料の貯蔵安定性が高くなっているので、反射防止ハードマスク用途に適している。但し、先に図示した一般構造式IおよびIIが好ましい。一般構造式IIに図示したように、POSS材料は、立方体部分の各コーナーにOSiMe単位を含むことができる。
本発明の反射防止ハードマスク組成物は、固形分ベースで、約50重量パーセント(重量%)〜約98重量%のPOSS材料を含むことができる。例えば、本発明の組成物は、固形分ベースで、約70重量%〜約80重量%のPOSS材料を含むことができる。
適当な発色団部分としては、以下に詳細に説明するように、POSS材料のSiO部分にグラフトすることができ、適当な放射線吸収特性を有し、反射防止ハードマスク組成物、または任意の上にある放射線感受性層の性能に悪影響を及ぼさない発色団が含まれる。適当な発色団部分としては、それだけに限らないが、フェニル、クリセン、ピレン、フルオランテン、アントロン、ベンゾフェノン、チオキサントン、およびアントラセンが挙げられる。アントラセン誘導体、例えば、Rennerの米国特許第4,371,605号「Photopolymerizable Compositions ContainingN-hydroxyamide and N-hydroxyimide Sulfonates」に記載されているものも使用することができる。9−アントランセンメタノールは、248ナノメートル(nm)用途に好適な発色団である。フェノールチアジンなどの不活性化できるアミノ窒素を除いて、発色団部分は窒素を含まないことが好ましい。193nmの放射線については、不飽和炭素結合、例えば炭素−炭素二重結合を含む非芳香族化合物も適当な発色団である。157nmの放射線については、飽和炭素−炭素結合を含む化合物が発色団としての役割を果たすことができる。
発色団部分は、酸触媒O−アルキル化または酸触媒C−アルキル化、例えばフリーデル・クラフツ・アルキル化によって、POSS単位のSiO成分に化学結合することができる。あるいは、発色団部分を、エステル化メカニズムによってPOSS単位に結合させることもできる。典型的な実施形態では、約5パーセント〜約40パーセントのPOSS単位が発色団部分を含んでいる。発色団部分を結合させるための部位は、ヒドロキシベンジル基またはヒドロキシメチルベンジル基などの芳香族基とすることができる。あるいは、発色団部分は、シクロヘキサノールまたは別のアルコールなどの他の部分との反応によって、POSS単位に結合させることができる。発色団部分を結合させるのに用いる反応は、アルコール(OH)基のエステル化を含むことができる。
適当な透明部分は、波長またはイメージング用放射線の特性に応じて変えることができる。193nmまたは157nmのイメージング用放射線の場合は、透明部分が、水素または、実質的に不飽和炭素−炭素結合のない有機基(C以上)あるいはその両方を含むことが好ましい。透明部分の約50パーセント以下は不飽和炭素−炭素結合のない有機基であることが望ましい。例えば、193nm用途のための適当な透明部分は、アルコールまたはエポキシドである。157nmイメージング用放射線の場合は、フッ素を含む透明部分が望ましいであろう。透明部分の量を発色団部分の量とバランスさせて、エネルギー吸収と反射防止の所望の組合せを得ることが好ましい。したがって、典型的な実施形態では、この組成物は、同数の発色団部分および透明部分を含む。
上記のように、R基は、架橋成分として機能することができる。架橋成分は、発生した酸を触媒とする反応または熱による反応あるいはその両方でPOSS単位を架橋することができる。R基は、追加の架橋成分と共に、またはそれ無しで架橋成分として機能する。適当なR基架橋成分としては、それだけに限らないが、エポキシドまたはアルコール、例えばヒドロキシベンジル、フェノール、ヒドロキシメチルベンジルを含む芳香族アルコール、あるいはシクロヘキサノイルを含む脂環式アルコールが挙げられる。あるいは、非環式アルコール、例えばフルオロカーボンアルコール、脂肪族アルコール、アミノ基、ビニルエーテルも使うことができる。
一般に、発色団部分、透明部分、または架橋成分あるいはこれらすべては、ヒドロシリル化反応によって、またはPOSS合成の前に適当な官能化前駆体(RSiORまたはRSiCl)を選択することによって、POSS材料のSiO単位と結合することができる。F.J.フェール(F. J. Feher)、ポリヘドラルオリゴシルセスキオキサンおよびヘテロシルセスキオキサン(PolyhedralOligosilsesquioxanes and Heterosilsesquioxanes)、ゲレスト社カタログ(Gelest Catalog)、43-59頁(1998年)を参照されたい。
架橋成分として機能するR基を有する適当なPOSS材料の例には、下記の構造式で図示したものが含まれる。
Figure 2005055893
本発明の反射防止ハードマスク組成物は、固形分ベースで、約50重量%以下の架橋成分を含むことができる。例えば、この組成物は、固形分ベースで、約5重量%〜約25重量%の架橋成分を含むことができる。
この組成物は、発生した酸を触媒とする反応または熱による反応あるいはその両方で、POSS単位と反応させることができる追加の架橋成分をさらに含むことができる。一般に、この組成物で使用される追加の架橋成分は、組成物の他の選択された成分との融和性があれば、ネガ型フォトレジスト技術分野で知られた任意の適当な架橋剤とすることができる。この追加の架橋成分は、発生した酸の存在下でPOSS単位を架橋させる役割を果たす。追加の架橋成分としては、それだけに限らないが、POWDERLINKの商標でCytec Industriesが市販している、テトラメトキシメチルグリコールウリル、メチルプロピルテトラメトキシメチルグリコールウリル、およびメチルフェニルテトラメトキシメチルグリコールウリルなどのグリコールウリル化合物、戸床正明他の特開平1−293339「フォトレジスト組成物」に見られるものなどの2,6−ビス(ヒドロキシメチル)−p−クレゾール化合物、エーテル化アミノ樹脂、例えばメチル化メラミン樹脂またはブチル化メラミン樹脂(N−メトキシメチル−メラミンまたはN−ブトキシメチル−メラミン)、Kirchmayrのカナダ特許第1204547号、「CurableComposition Based On an Acid-Curable Resin, and Process for Curing this Resin」に見られるものなどのメチル化グリコールウリルおよびブチル化グリコールウリルを挙げることができる。ビス−エポキシまたはビス−フェノール、例えばビスフェノール−Aなど、その他の架橋剤も使うことができる。架橋剤の組合せを使うことができる。場合によっては、例えば反応性基がエポキシドである場合は、追加の架橋剤は必要ないかもしれない。
場合によっては、架橋は、電子ビーム照射(本明細書では以下「e−ビーム」)で材料を照射することによって実現することができる。この場合、追加の架橋成分と酸発生剤の添加または加熱あるいはその両方は任意に選択できる。
適当な酸発生剤には、熱処理で酸を遊離する酸発生化合物、例えば熱酸発生剤が含まれる。2,4,4,6−テトラブロモシクロヘキサジエノン、ベンゾイントシレート、2−ニトロベンジルトシレート、およびその他の有機スルホン酸のアルキルエステルなど、様々な既知の熱酸発生剤を使うことができる。活性化するとスルホン酸を発生する化合物は一般に適当である。他の適当な熱活性化酸発生剤は、Sinta他の米国特許第5,886,102号「Antireflective Coating Compositions」(本明細書では以下「Sinta」)およびPavelchek他の米国特許第5,939,236号「AntireflectiveCoating Compositions Comprising Photoacid Generators」(本明細書では以下「Pavelchek」)に記載されたものなどがあり、これら特許の開示を参照されたい。所望により、熱酸発生剤の代替としてまたはそれと組み合わせて、放射線感受性酸発生剤を使うことができる。適当な放射線感受性酸発生剤の例は、SintaおよびPavelchekに記載されている。組成物の他の成分との融和性があれば、レジスト技術分野で知られた他の放射線感受性酸発生剤も使用することができる。放射線感受性酸発生剤を使用する場合は、適当な放射線を当てて酸発生を誘発し、これが次に架橋反応の触媒となることによって、この組成物の硬化温度例えば架橋温度を低くすることができる。放射線感受性酸発生剤を使用する場合でも、この組成物を熱処理して架橋プロセスを加速させることは、例えば製造ラインでの製作の場合には好ましい。
本発明の反射防止ハードマスク組成物は、固形分ベースで、約1重量%〜約20重量%の酸発生剤を含むことができる。例えば、この組成物は、固形分ベースで、約1重量%〜約15重量%の酸発生剤を含むことができる。
この組成物は、任意の所望のレジスト材料と組み合わせてリソグラフィ構造を形成することができる。好ましくは、レジストは、短波長紫外線、例えば200nm未満の波長、またはe−ビーム放射線で描画することができる。適当なレジスト材料の例は、Bucchignano他の米国特許第6,037,097号「E-beam Application to Mask Making UsingNew Improved KRS Resist System」に記載されたものなどがあり、この特許の開示を参照されたい。
この組成物は、通常、所望の基板に塗布する前に溶媒を含んでいる。溶媒は、組成物の反射防止ハードマスク性能に過度に悪い影響を及ぼさないなら、レジストと共に通常使用される任意の溶媒でよい。適当な溶媒には、それだけに限らないが、プロピレングリコールモノメチルエーテルアセテート、シクロヘキサノン、および乳酸エチルが挙げられる。基板に塗布するための組成物中の溶媒の量は、約5重量%〜約20重量%の固形分を実現するのに十分なものとする。固形分濃度のより高い配合にすると、一般に、より厚いコーティング層が形成される。この組成物は、当技術分野で知られているように、少量の助剤成分、例えば塩基性添加剤をさらに含むことができる。
この組成物は、通常の方法を用いて、POSS材料、架橋成分および酸発生剤、ならびに任意の他の所望の成分を混ぜることによって調製することができる。この組成物を用いた反射防止ハードマスク層の形成について以下に説明する。
反射防止ハードマスク層は、半導体基板上に集積回路を製作する際に使用されるリソグラフィ・プロセスに有用である。例えば、反射防止ハードマスク層は、248nm、193nm、157nm、X線、e−ビーム、または他のイメージング用放射線を使用したリソグラフィ・プロセスに特に有用である。したがって、図1に示した半導体デバイスの加工法をさらに本明細書で開示する。
図1のステップ102に示したように、半導体リソグラフィの用途分野では、一般に、半導体基板上の材料層へのパターンの転写を必要とする。材料層は、製作プロセスの段階および最終製品のために設定されている所望の材料に応じて、金属導電体層、セラミック等の絶縁体層、半導体層、または他の材料層とすることができる。図1のステップ104に示したように、本発明の組成物を反射防止ハードマスク層として形成し、好ましくはスピンコーティングによって、パターン化すべき材料層の上に直接塗布することができる。次いで、この組成物を加熱処理して溶媒を除去し、組成物を硬化例えば架橋させる。
組成物は、スピンコーティングし、その後加熱処理して架橋し溶媒を除去することにより、基板上の反射防止ハードマスク層に形成することができる。加熱処理は、摂氏約250度(℃)以下で行われる。例えば、約150℃〜約220℃の温度で行われる。加熱処理時間は、層の厚みおよび加熱処理温度に応じて変えることができる。
反射防止ハードマスク層の厚みは、所望の機能に応じて変えることができる。通常の用途については、反射防止ハードマスク層の厚みは、約0.03マイクロメートル(μm)〜約5.0μmである。
所望により、この組成物を、通常のスピンオン・ガラス材料と同じようなやり方で誘電体材料としても使用することができる。反射防止ハードマスク層は、有機反射防止層に従来使われている薄い膜厚でもハードマスクとしての役割を果たし、横方向のエッチングを受けにくい。
図1のステップ106に示したように、次いで、放射線感受性イメージング層を、直接または間接的に、硬化した組成物の上に塗布することができる。放射線感受性イメージング層は、スピンコーティング技術を使って塗布することができる。次いで、材料層、反射防止ハードマスク層、および放射線感受性イメージング層を有する基板を、加熱例えば露光前加熱処理して、溶媒を除去し、放射線感受性イメージング層のコヒーレンスを改良することができる。放射線感受性イメージング層は、できるだけ薄いものでなければならないが、一方、実質的に均一であって、かつリソグラフィ・パターンを下の基板材料層に転写する反応性イオン・エッチングなどの後続の加工に十分耐えなければならない。露光前加熱処理ステップは、約10秒〜約900秒の間行うことが好ましい。例えば、露光前加熱処理の時間は、約15秒〜約60秒とすることができる。露光前加熱処理温度は、放射線感受性イメージング層のガラス転移温度に応じて変えることができる。
図1のステップ108に示したように、溶媒を除去した後、次に放射線感受性イメージング層を所望の放射線、例えば193nmの紫外線にパターン露光する。電子ビームなどの粒子線走査を使用する場合は、このパターン露光は、粒子線を基板の端から端まで走査し、所望のパターンに粒子線を選択的に当てることにより実現することができる。より一般的に、193nmの紫外線などの波動放射線を使用する場合は、パターン露光は、放射線感受性イメージング層の上に配置されたマスクを通して行われる。193nmのUV線については、総露光エネルギーは、1平方センチメートル当たり約100ミリジュール(ミリジュール/cm)以下である。例えば、露光エネルギーは、約50ミリジュール/cm以下とすることができる。さらに、露光エネルギーは、約15ミリジュール/cm〜約30ミリジュール/cmとすることができる。
所望のパターン露光の後、通常、放射線感受性イメージング層を加熱処理して、すなわち露光後加熱処理を行って、酸触媒反応をさらに完全なものにし、露光パターンのコントラストを高くする。露光後加熱処理は、約60℃〜約175℃の温度で行われる。例えば、露光後加熱処理は、約90℃〜約160℃の温度で行われる。露光後加熱処理は、約30秒〜約300秒の間行われる。
図1のステップ110に示したように、露光後加熱処理の後、放射線感受性イメージング層の放射線に露光した区域を選択的に溶解するアルカリ性溶液と、放射線感受性イメージング層を接触させることにより、所望のパターンを有する放射線感受性イメージング層を得る、すなわち現像する。好ましいアルカリ性溶液、すなわち現像液には、水酸化テトラメチルアンモニウムの水溶液が含まれる。次いで、通常は、基板上の得られたリソグラフィ構造を乾燥し、残った現像液の溶媒を除去する。
次いで、既知の技術を用いてテトラフルオロメタン(CF)または他の適当なエッチング液でエッチングすることにより、放射線感受性イメージング層からのパターンを反射防止ハードマスク層の露出部分に転写することができる。その結果、図1のステップ110に示したように、反射防止ハードマスク層の部分を除去することができる。
図1のステップ112に示したように、次いで、露出された、下にあるパターン化すべき材料層を、この材料に適したエッチング液を用いてエッチングすることができる。例えば、この材料層がクロム(Cr)などの金属を含む場合は、ドライ・エッチング液として塩素/酸素の組合せ(Cl/O)を使用することができる。
パターン転写が行われた後は、通常の剥離技術を用いて、残った放射線感受性イメージング層および反射防止ハードマスク層を除去することができる。反射防止ハードマスク層がハードマスク層として厳密に使用される場合は、テトラフルオロメタン/酸素(CF/O)プラズマを用いて本発明の組成物を除去することができる。
このようにして、本発明の組成物と得られたリソグラフィ構造を使って、パターン化材料層構造を作ることができ、例えば金属配線、コンタクトまたはバイア用の孔、絶縁部、例えば、ダマシン・トレンチまたは浅いトレンチ分離、コンデンサ構造用トレンチ、例えば集積回路デバイスの設計に使用できるようなものを作ることができる。この組成物は、酸化物、窒化物、ポリシリコン、またはクロム、あるいはこれらすべてのパターン化層を作るという観点から特に有用である。
本発明の組成物が有用と思われる一般的なリソグラフィ・プロセスの例は、Douglasの米国特許第4,855,017号「TrenchEtch Process for a Single-Wafer RIE Dry Etch Reactor」、Bronner他の米国特許第5,362,663号「Methodof Forming Double Well Substrate Plate Trench DRAM Cell Array」、Akiba他の米国特許第5,429,710号「DryEtching Method」、Nultyの米国特許第5,562,801号「Method of Etching an Oxide Layer」、Golden他の米国特許第5,618,751号「Methodof Making Single-Step Trenches Using Resist Fill Recess」、Chan他の米国特許第5,744,376号「Methodof Manufacturing Copper Interconnect With Top Barrier Layer」、Yew他の米国特許第5,801,094号「DualDamascene Process」、Shanmughamの米国特許第5,821,469号「Device for Securing Cables in aTelecommunications System」、Kornblitの米国特許第5,948,570号「Process for DryLithographic Etching」に開示されており、これらの開示を参照されたい。パターン転写プロセスの他の例は、W.モロー(W. MOREAU)「半導体リソグラフィ、原理、実際、および材料(SEMICONDUCTORLITHOGRAPHY, PRINCIPLES, PRACTICES, AND MATERIALS)」12-13章(1988年)に記載されており、その開示を参照されたい。代表的なリソグラフィ・プロセスを本明細書で説明し参照したが、本発明は、いかなる特定のリソグラフィ技術またはデバイス構造にも限定されるものではないことを理解するべきである。
パターン化リソグラフィ構造を本明細書でさらに開示する。パターン化リソグラフィ構造は、基板、基板の上の材料層、材料層の上のパターン化された反射防止ハードマスク層(このパターン化された反射防止ハードマスク層が本発明の組成物を含んでいる)、および反射防止ハードマスク層の上のパターン化された放射線感受性イメージング層を含む。
本発明を例証する実施形態を本明細書で説明してきたが、本発明は、これらの実施形態に厳密に限定されるものではないこと、ならびに、本発明の範囲または精神を逸脱することなく、当分野の技術者がその他の様々な変更および修正を行うことができることを理解されたい。以下の実施例は、本発明の範囲および精神を例証するために提供される。これらの実施例は例証の目的でのみ提供されるものであるから、そこに具現化されている本発明はそれらに限定されるものではない。
TAL Materials, Inc.から、POSS材料、オクタキス{(グリシドキシプロピル)ジメチルシロキシ}シルセスキオキサン、オクタキス{(エチルシクロヘキシルプロピル)ジメチルシロキシ}シルセスキオキサン、オクタキス{(プロパノール)ジメチルシロキシ}シルセスキオキサン、およびオクタキス{(エチルフェニルアセテート)ジメチルシロキシ}シルセスキオキサンを入手した。
処方
実施例1からの所望のPOSS材料を、プロピレングリコールモノメチルエーテルアセテート(PGMEA)または乳酸エチルに、溶媒に対して12重量パーセントから溶媒に対して14重量パーセントの濃度で溶解した。この溶液に、DayChemから市販されている架橋剤テトラメトキシメチルグリコールウリルをPOSSに対して8重量部の濃度で、かつジ(t−ブチルフェニル)ヨードニウムペルフルオロブチルスルホネート(DtBPI−PFBuS)をPOSSに対して4重量部の濃度で添加した。
膜形成および光学特性
実施例2に記載したように調製した、オクタキス{(グリシドキシプロピル)ジメチルシロキシ}シルセスキオキサン、オクタキス{(エチルシクロヘキシルプロピル)ジメチルシロキシ}シルセスキオキサン、オクタキス{(プロパノール)ジメチルシロキシ}シルセスキオキサン、およびオクタキス{(エチルフェニルアセテート)ジメチルシロキシ}シルセスキオキサンをそれぞれ含む、処方POSS A、POSS B、POSS C、およびPOSS Dを、200ミリメートルのシリコン・ウェーハ上に、3,000回転/分で60秒間スピンコートした。膜厚は、1,700〜2,500オングストロームの範囲であった。このスピンキャスト膜を200℃で60秒間硬化させた。n & k Technology, Inc.製のn&kアナライザーを用いて、光学定数、すなわち屈折率nおよび193nmでの吸光係数kを測定した。
193nm放射線に対する膜の光学特性は以下のごとくであった。
Figure 2005055893
193nmリソグラフィおよび反射防止ハードマスク層のエッチング:
POSS AとPOSS Dの混合物を用いて、実施例3に記載したようにハードマスク層を形成した。PAR715アクリル系フォトレジスト(Sumitomoから入手)の層を、硬化した反射防止ハードマスク層の上に、約300nmの厚みにスピンコートした。このフォトレジストを、130℃で60秒間加熱処理した。次いで、APSM(交換位相シフトマスク)レチクルを用いた通常の環状照明を有する0.6NA193nmNikonステッパーを用いて、フォトレジスト層に描画した。パターン露光の後、130℃で60秒間フォトレジスト層を加熱処理した。次いで、市販の現像液(0.26MのTMAH)を用いて、画像を現像した。得られたパターンは、113.75nmと122.5nmの等しい線と間隔のパターンを示した。
次いで、東京エレクトロン社(TEL)の先進的マグネトロンプラズマDRMツールを用いて、20秒のフルオロカーボン系エッチングにより、このパターンを反射防止ハードマスク層に転写した。フォトレジストに対する反射防止ハードマスク層のエッチング選択性は10対1よりも大きく、反射防止ハードマスクの開口エッチング中に実質上フォトレジストが失われないことを実証した。
反射防止ハードマスク層と酸化物含有材料層の膜間エッチング選択性は、TEL DRMツールで行ったフルオロカーボン系エッチングを用いて、フォトレジスト(PAR715)について、実施例3からのポリマー膜でそれぞれ2.5対1および3.3対1であることが確認された。これらのエッチング選択性を組み合わせると、酸化物から有機フォトレジストまでのパターン転写の全体としてのエッチング選択性は、20対1より大きくなり、これはどんな既知の有機ハードマスクより優れている。
本発明の実施形態による半導体デバイスを加工するための代表的な技術を示すフロー・チャートである。

Claims (22)

  1. 完全縮合ポリヘドラルオリゴシルセスキオキサン、{RSiO1.5(但し、nは8である)と、
    少なくとも1つの発色団部分および透明部分と
    を含む反射防止ハードマスク組成物。
  2. 固形分ベースで、50重量%〜98重量%のポリヘドラルオリゴシルセスキオキサンを含む、請求項1に記載の組成物。
  3. 各発色団部分が、フェニル、クリセン、ピレン、フルオランテン、アントロン、ベンゾフェノン、チオキサントン、アントラセン、アントラセン誘導体、9−アントランセンメタノール、フェノールチアジン、不飽和炭素−炭素二重結合を含む非芳香族化合物、飽和炭素−炭素結合を含む化合物、および上記発色団の少なくとも1種を含む組成物からなる群から選択される、請求項1に記載の組成物。
  4. 各透明部分が、水素、および不飽和炭素−炭素二重結合がない有機基からなる群から選択される、請求項1に記載の組成物。
  5. 少なくとも1つの透明部分がフッ素を含む、請求項1に記載の組成物。
  6. 同数の発色団部分および透明部分を含む、請求項1に記載の組成物。
  7. 架橋成分をさらに含む、請求項1に記載の組成物。
  8. 前記架橋成分が、エポキシド、アルコール、芳香族アルコール、ヒドロキシベンジル、フェノール、ヒドロキシメチルベンジル、脂環式アルコール、シクロヘキサノイル、非環式アルコール、フルオロカーボンアルコール、脂肪族アルコール、アミノ基、ビニルエーテル、および上記の架橋成分の少なくとも1種を含む組成物からなる群から選択される、請求項7に記載の組成物。
  9. 固形分ベースで、50重量%以下の架橋成分を含む、請求項7に記載の組成物。
  10. 追加の架橋剤をさらに含む、請求項1に記載の組成物。
  11. 前記追加の架橋剤が、グリコールウリル、メチル化グリコールウリル、ブチル化グリコールウリル、テトラメトキシメチルグリコールウリル、メチルプロピルテトラメトキシメチルグリコールウリル、メチルフェニルテトラメトキシメチルグリコールウリル、2,6−ビス(ヒドロキシメチル)−p−クレゾール、エーテル化アミノ樹脂、メチル化メラミン樹脂、N−メトキシメチル−メラミン、ブチル化メラミン樹脂、N−ブトキシメチル−メラミン、ビス−エポキシ、ビス−フェノール、ビスフェノール−A、およびエポキシド、アルコール、芳香族アルコール、ヒドロキシベンジル、フェノール、ヒドロキシメチルベンジル、脂環式アルコール、シクロヘキサノイル、非環式アルコール、フルオロカーボンアルコール、脂肪族アルコール、アミノ基またはビニルエーテルを含む組成物からなる群から選択される、請求項10に記載の組成物。
  12. 酸発生剤をさらに含む、請求項1に記載の組成物。
  13. 前記酸発生剤が、2,4,4,6−テトラブロモシクロヘキサジエノン、ベンゾイントシレート、2−ニトロベンジルトシレート、有機スルホン酸のアルキルエステル、および上記の酸発生剤の少なくとも1種を含む組合せからなる群から選択される、請求項14に記載の組成物。
  14. 前記酸発生剤が熱酸発生剤である、請求項12に記載の組成物。
  15. 固形分べースで、1重量%〜20重量%の酸発生剤を含む、請求項12に記載の組成物。
  16. 半導体デバイスの加工方法であって、
    基板上に材料層を設けるステップと、
    前記材料層の上に反射防止ハードマスク層を形成するステップと
    を含み、前記反射防止ハードマスク層が、
    完全縮合ポリヘドラルオリゴシルセスキオキサン、{RSiO1.5(但し、nは8である)と、
    少なくとも1つの発色団部分および透明部分とを含む方法。
  17. 前記反射防止ハードマスク層の上に放射線感受性イメージング層を形成するステップと、
    前記放射線感受性イメージング層を放射線にパターン露光することにより当該イメージング層に放射線露光領域のパターンを作るステップと、
    前記放射線感受性イメージング層および前記反射防止ハードマスク層の部分を選択的に除去してこの材料層の部分を露出するステップと、
    前記材料層の前記露出部分をエッチングすることによりパターン化された材料のフィーチャを基板上に形成するステップと
    をさらに含む、請求項16に記載の方法。
  18. 残った放射線感受性イメージング層と反射防止ハードマスク層を、前記材料層から除去するステップをさらに含む、請求項17に記載の方法。
  19. 前記放射線が、約200ナノメートル以下の波長を有する紫外線である、請求項17に記載の方法。
  20. 前記放射線が電子ビーム放射線である、請求項17に記載の方法。
  21. 前記反射防止ハードマスク層の厚みが、0.03マイクロメートル〜5マイクロメートルである、請求項16に記載の方法。
  22. 基板と、
    前記基板の上の材料層と、
    前記材料層の上のパターン化された反射防止ハードマスク層と、
    前記反射防止ハードマスク層の上のパターン化された放射線感受性イメージング層と
    を含み、前記パターン化された反射防止ハードマスク層が、
    完全縮合ポリヘドラルオリゴシルセスキオキサン、{RSiO1.5(但し、nは8である)と、
    少なくとも1つの発色団部分および透明部分とを含むパターン化リソグラフィ構造。
JP2004223337A 2003-08-05 2004-07-30 リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法 Active JP4042981B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/634,667 US7223517B2 (en) 2003-08-05 2003-08-05 Lithographic antireflective hardmask compositions and uses thereof

Publications (2)

Publication Number Publication Date
JP2005055893A true JP2005055893A (ja) 2005-03-03
JP4042981B2 JP4042981B2 (ja) 2008-02-06

Family

ID=34116083

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2004223337A Active JP4042981B2 (ja) 2003-08-05 2004-07-30 リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法

Country Status (5)

Country Link
US (1) US7223517B2 (ja)
JP (1) JP4042981B2 (ja)
KR (1) KR100628824B1 (ja)
CN (1) CN1321352C (ja)
TW (1) TWI290265B (ja)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006126406A1 (ja) * 2005-05-24 2006-11-30 Nissan Chemical Industries, Ltd. ポリシラン化合物を含むリソグラフィー用下層膜形成組成物
JP2007047247A (ja) * 2005-08-08 2007-02-22 Toray Ind Inc 感光性ペースト組成物およびそれを用いたフィールドエミッションディスプレイ部材
JP2007161796A (ja) * 2005-12-12 2007-06-28 Sekisui Chem Co Ltd 樹脂組成物、絶縁基板、成型体、及び電子機器
WO2007105538A1 (ja) * 2006-03-10 2007-09-20 Tokyo Ohka Kogyo Co., Ltd. レジスト下層膜用組成物及びこれを用いたレジスト下層膜
JP2008026500A (ja) * 2006-07-20 2008-02-07 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスおよびそれを用いたフォトマスクの製造方法
WO2008047715A1 (fr) 2006-10-12 2008-04-24 Nissan Chemical Industries, Ltd. procédé de fabrication d'un dispositif semi-conducteur à l'aide d'un stratifié à quatre couches
JP2008160065A (ja) * 2006-12-21 2008-07-10 Hynix Semiconductor Inc デュアルダマシンパターンの形成方法
JP2010024229A (ja) * 2008-06-20 2010-02-04 Showa Denko Kk (メタ)アクリロイルオキシ基含有篭状シルセスキオキサン化合物およびその製造方法
JP2010524224A (ja) * 2007-04-02 2010-07-15 チェイル インダストリーズ インコーポレイテッド 反射防止性を有するハードマスク組成物及びこれを利用した材料のパターン形成方法
JP2012119679A (ja) * 2010-12-01 2012-06-21 Xerox Corp 薄膜トランジスタのための誘電性組成物
JP2013011900A (ja) * 2012-08-31 2013-01-17 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスを用いたフォトマスクの製造方法
JP2014016640A (ja) * 2013-09-24 2014-01-30 Dainippon Printing Co Ltd フォトマスクブランクス
JP2015135513A (ja) * 2015-03-06 2015-07-27 大日本印刷株式会社 フォトマスクブランクス
JP2016515219A (ja) * 2013-02-14 2016-05-26 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 非ポリマー型シルセスキオキサンを含むケイ素含有反射防止膜
JP2016167092A (ja) * 2016-05-20 2016-09-15 大日本印刷株式会社 フォトマスクブランクス

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7507783B2 (en) * 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
JP4540327B2 (ja) * 2003-11-06 2010-09-08 ルネサスエレクトロニクス株式会社 フォトマスクのパターン形成方法
US7915369B2 (en) * 2004-12-07 2011-03-29 Panasonic Electric Works Co., Ltd. Ultraviolet transmissive polyhedral silsesquioxane polymers
US7691275B2 (en) * 2005-02-28 2010-04-06 Board Of Regents, The University Of Texas System Use of step and flash imprint lithography for direct imprinting of dielectric materials for dual damascene processing
US20070015082A1 (en) * 2005-07-14 2007-01-18 International Business Machines Corporation Process of making a lithographic structure using antireflective materials
US7544750B2 (en) * 2005-10-13 2009-06-09 International Business Machines Corporation Top antireflective coating composition with low refractive index at 193nm radiation wavelength
US7449538B2 (en) * 2005-12-30 2008-11-11 Hynix Semiconductor Inc. Hard mask composition and method for manufacturing semiconductor device
US7468330B2 (en) 2006-04-05 2008-12-23 International Business Machines Corporation Imprint process using polyhedral oligomeric silsesquioxane based imprint materials
US20070298349A1 (en) * 2006-06-22 2007-12-27 Ruzhi Zhang Antireflective Coating Compositions Comprising Siloxane Polymer
US7704670B2 (en) * 2006-06-22 2010-04-27 Az Electronic Materials Usa Corp. High silicon-content thin film thermosets
US7927664B2 (en) * 2006-08-28 2011-04-19 International Business Machines Corporation Method of step-and-flash imprint lithography
US8168372B2 (en) * 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
KR101416030B1 (ko) * 2006-12-22 2014-07-08 주식회사 동진쎄미켐 유기반사방지막 형성용 폴리머 및 이를 포함하는 조성물
US8026040B2 (en) * 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
WO2008104874A1 (en) * 2007-02-26 2008-09-04 Az Electronic Materials Usa Corp. Process for making siloxane polymers
KR101523393B1 (ko) 2007-02-27 2015-05-27 이엠디 퍼포먼스 머티리얼스 코프. 규소를 주성분으로 하는 반사 방지 코팅 조성물
WO2009084775A1 (en) * 2007-12-28 2009-07-09 Seoul National University Industry Foundation Resist for e-beam lithography
DE112009000979B4 (de) * 2008-04-23 2014-12-11 Brewer Science, Inc. Photoempfindliche Hartmaske für die Mikrolithographie
US8377631B2 (en) * 2009-10-06 2013-02-19 International Business Machines Corporation Planarization over topography with molecular glass materials
WO2011054731A1 (en) * 2009-11-05 2011-05-12 Basf Se Fluorescent materials
US9011591B2 (en) 2011-09-21 2015-04-21 Dow Global Technologies Llc Compositions and antireflective coatings for photolithography
US9068086B2 (en) 2011-12-21 2015-06-30 Dow Global Technologies Llc Compositions for antireflective coatings
US9348228B2 (en) * 2013-01-03 2016-05-24 Globalfoundries Inc. Acid-strippable silicon-containing antireflective coating
US8999623B2 (en) 2013-03-14 2015-04-07 Wiscousin Alumni Research Foundation Degradable neutral layers for block copolymer lithography applications
US9671694B1 (en) * 2016-09-30 2017-06-06 International Business Machines Corporation Wet strippable gap fill materials
AU2017382202B2 (en) * 2016-12-22 2022-06-09 Illumina Cambridge Limited Arrays including a resin film and a patterned polymer layer
KR200488601Y1 (ko) 2017-04-17 2019-02-27 조경환 케이크 상자

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4221688A (en) * 1978-04-28 1980-09-09 Dow Corning Corporation Silicone emulsion which provides an elastomeric product and methods for preparation
US4371605A (en) 1980-12-09 1983-02-01 E. I. Du Pont De Nemours And Company Photopolymerizable compositions containing N-hydroxyamide and N-hydroxyimide sulfonates
DE3278567D1 (en) * 1981-10-03 1988-07-07 Japan Synthetic Rubber Co Ltd Solvent-soluble organopolysilsesquioxanes, processes for producing the same, and compositions and semiconductor devices using the same
US5085893A (en) * 1989-07-28 1992-02-04 Dow Corning Corporation Process for forming a coating on a substrate using a silsesquioxane resin
US5674937A (en) * 1995-04-27 1997-10-07 Dow Corning Corporation Elastomers from silicone emulsions having self-catalytic crosslinkers
JPH09219448A (ja) * 1996-02-09 1997-08-19 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US6521699B2 (en) * 1996-09-26 2003-02-18 Rhodia Chimie Aqueous silicone dispersion
US6660823B1 (en) * 1998-03-03 2003-12-09 The United States Of America As Represented By The Secretary Of The Air Force Modifying POSS compounds
US6087064A (en) * 1998-09-03 2000-07-11 International Business Machines Corporation Silsesquioxane polymers, method of synthesis, photoresist composition, and multilayer lithographic method
US6187505B1 (en) * 1999-02-02 2001-02-13 International Business Machines Corporation Radiation sensitive silicon-containing resists
US6268457B1 (en) 1999-06-10 2001-07-31 Allied Signal, Inc. Spin-on glass anti-reflective coatings for photolithography
US6890448B2 (en) * 1999-06-11 2005-05-10 Shipley Company, L.L.C. Antireflective hard mask compositions
US6100417A (en) * 1999-08-31 2000-08-08 The United States Of America As Represented By The Secretary Of The Air Force Functionalizing olefin bearing silsesquioxanes
US6420088B1 (en) * 2000-06-23 2002-07-16 International Business Machines Corporation Antireflective silicon-containing compositions as hardmask layer
US6420084B1 (en) 2000-06-23 2002-07-16 International Business Machines Corporation Mask-making using resist having SIO bond-containing polymer
US6517958B1 (en) * 2000-07-14 2003-02-11 Canon Kabushiki Kaisha Organic-inorganic hybrid light emitting devices (HLED)
US6368400B1 (en) * 2000-07-17 2002-04-09 Honeywell International Absorbing compounds for spin-on-glass anti-reflective coatings for photolithography
TW538319B (en) * 2000-10-10 2003-06-21 Shipley Co Llc Antireflective composition, method for forming antireflective coating layer, and method for manufacturing electronic device
CA2324794A1 (en) * 2000-10-25 2002-04-25 American Dye Source, Inc. Organic-inorganic hybrid photocurable compositions
US6653045B2 (en) * 2001-02-16 2003-11-25 International Business Machines Corporation Radiation sensitive silicon-containing negative resists and use thereof
US6759460B2 (en) * 2001-03-08 2004-07-06 Asahi Kasei Kabushiki Kaisha Resin composition
US7008749B2 (en) * 2001-03-12 2006-03-07 The University Of North Carolina At Charlotte High resolution resists for next generation lithographies
EP1245642B1 (en) * 2001-03-27 2005-06-08 Samsung Electronics Co., Ltd. Siloxane-based resin and method for forming an insulating film between interconnecting layers in wafers
TW594416B (en) * 2001-05-08 2004-06-21 Shipley Co Llc Photoimageable composition
US6936537B2 (en) 2001-06-19 2005-08-30 The Boc Group, Inc. Methods for forming low-k dielectric films
US6569932B2 (en) * 2001-07-06 2003-05-27 Benjamin S. Hsiao Blends of organic silicon compounds with ethylene-based polymers
US6767930B1 (en) * 2001-09-07 2004-07-27 Steven A. Svejda Polyhedral oligomeric silsesquioxane polyimide composites
US6730454B2 (en) * 2002-04-16 2004-05-04 International Business Machines Corporation Antireflective SiO-containing compositions for hardmask layer
US6818555B2 (en) * 2002-10-07 2004-11-16 Taiwan Semiconductor Manufacturing Co., Ltd Method for metal etchback with self aligned etching mask
TW200413417A (en) * 2002-10-31 2004-08-01 Arch Spec Chem Inc Novel copolymer, photoresist compositions thereof and deep UV bilayer system thereof
US7507783B2 (en) * 2003-02-24 2009-03-24 Brewer Science Inc. Thermally curable middle layer comprising polyhedral oligomeric silsesouioxanes for 193-nm trilayer resist process
US7232864B2 (en) * 2003-10-30 2007-06-19 Bening Robert C Coupled radial anionic polymers

Cited By (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006126406A1 (ja) * 2005-05-24 2006-11-30 Nissan Chemical Industries, Ltd. ポリシラン化合物を含むリソグラフィー用下層膜形成組成物
KR101366792B1 (ko) * 2005-05-24 2014-02-25 닛산 가가쿠 고교 가부시키 가이샤 폴리실란화합물을 포함하는 리소그래피용 하층막 형성 조성물
JP5062420B2 (ja) * 2005-05-24 2012-10-31 日産化学工業株式会社 ポリシラン化合物を含むリソグラフィー用下層膜形成組成物
US8163460B2 (en) 2005-05-24 2012-04-24 Nissan Chemical Industries, Ltd. Underlayer coating forming composition for lithography containing polysilane compound
JP4692136B2 (ja) * 2005-08-08 2011-06-01 東レ株式会社 感光性ペースト組成物およびそれを用いたフィールドエミッションディスプレイ部材
JP2007047247A (ja) * 2005-08-08 2007-02-22 Toray Ind Inc 感光性ペースト組成物およびそれを用いたフィールドエミッションディスプレイ部材
JP2007161796A (ja) * 2005-12-12 2007-06-28 Sekisui Chem Co Ltd 樹脂組成物、絶縁基板、成型体、及び電子機器
WO2007105538A1 (ja) * 2006-03-10 2007-09-20 Tokyo Ohka Kogyo Co., Ltd. レジスト下層膜用組成物及びこれを用いたレジスト下層膜
JP2008026500A (ja) * 2006-07-20 2008-02-07 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスおよびそれを用いたフォトマスクの製造方法
WO2008047715A1 (fr) 2006-10-12 2008-04-24 Nissan Chemical Industries, Ltd. procédé de fabrication d'un dispositif semi-conducteur à l'aide d'un stratifié à quatre couches
US7842620B2 (en) 2006-10-12 2010-11-30 Nissan Chemical Industries, Ltd. Method for manufacturing semiconductor device using quadruple-layer laminate
JP2008160065A (ja) * 2006-12-21 2008-07-10 Hynix Semiconductor Inc デュアルダマシンパターンの形成方法
JP2010524224A (ja) * 2007-04-02 2010-07-15 チェイル インダストリーズ インコーポレイテッド 反射防止性を有するハードマスク組成物及びこれを利用した材料のパターン形成方法
US8420289B2 (en) 2007-04-02 2013-04-16 Cheil Industries, Inc. Aromatic ring-containing polymer, polymer mixture, antireflective hardmask composition, and associated methods
JP2010024229A (ja) * 2008-06-20 2010-02-04 Showa Denko Kk (メタ)アクリロイルオキシ基含有篭状シルセスキオキサン化合物およびその製造方法
JP2012119679A (ja) * 2010-12-01 2012-06-21 Xerox Corp 薄膜トランジスタのための誘電性組成物
JP2013011900A (ja) * 2012-08-31 2013-01-17 Dainippon Printing Co Ltd 高ドライエッチング耐性ポリマー層を付加したフォトマスクブランクスを用いたフォトマスクの製造方法
JP2016515219A (ja) * 2013-02-14 2016-05-26 インターナショナル・ビジネス・マシーンズ・コーポレーションInternational Business Machines Corporation 非ポリマー型シルセスキオキサンを含むケイ素含有反射防止膜
JP2014016640A (ja) * 2013-09-24 2014-01-30 Dainippon Printing Co Ltd フォトマスクブランクス
JP2015135513A (ja) * 2015-03-06 2015-07-27 大日本印刷株式会社 フォトマスクブランクス
JP2016167092A (ja) * 2016-05-20 2016-09-15 大日本印刷株式会社 フォトマスクブランクス

Also Published As

Publication number Publication date
US20050031964A1 (en) 2005-02-10
TW200513803A (en) 2005-04-16
KR100628824B1 (ko) 2006-09-27
CN1321352C (zh) 2007-06-13
US7223517B2 (en) 2007-05-29
CN1595296A (zh) 2005-03-16
TWI290265B (en) 2007-11-21
KR20050015992A (ko) 2005-02-21
JP4042981B2 (ja) 2008-02-06

Similar Documents

Publication Publication Date Title
JP4042981B2 (ja) リソグラフィ用反射防止ハードマスク組成物およびそれを用いた半導体デバイスの製造方法
JP4384919B2 (ja) ハードマスク層用の反射防止SiO含有組成物
JP4336310B2 (ja) ハードマスク層としてのシリコン含有反射防止層及びその形成方法
US7648820B2 (en) Antireflective hardmask and uses thereof
JP4086830B2 (ja) スピンオンarc/ハードマスク用のシリコン含有組成物
US6420088B1 (en) Antireflective silicon-containing compositions as hardmask layer
US7326442B2 (en) Antireflective composition and process of making a lithographic structure
JP4852360B2 (ja) 多層リソグラフィプロセスにおいて用いられる複素環芳香族構造物を含む基層組成物、リソグラフィ構造物、材料層または材料要素を基板上に形成させる方法
US8323871B2 (en) Antireflective hardmask composition and a method of preparing a patterned material using same
KR100673625B1 (ko) 반사방지 하드마스크 조성물 및 이를 이용하여 기판 상에패턴화된 재료 형상을 형성시키는 방법
KR100666023B1 (ko) 하드마스크 층으로서 반사방지 규소 함유 조성물

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070125

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070130

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20070219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20070219

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070426

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070606

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070815

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20071107

RD14 Notification of resignation of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7434

Effective date: 20071107

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20071109

R150 Certificate of patent or registration of utility model

Ref document number: 4042981

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20101122

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111122

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121122

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131122

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250